KR19980087424A - 기판처리장치, 그 운전방법 및 기판검출 시스템 - Google Patents

기판처리장치, 그 운전방법 및 기판검출 시스템 Download PDF

Info

Publication number
KR19980087424A
KR19980087424A KR1019980019358A KR19980019358A KR19980087424A KR 19980087424 A KR19980087424 A KR 19980087424A KR 1019980019358 A KR1019980019358 A KR 1019980019358A KR 19980019358 A KR19980019358 A KR 19980019358A KR 19980087424 A KR19980087424 A KR 19980087424A
Authority
KR
South Korea
Prior art keywords
cassette
substrate
light
opening
lid
Prior art date
Application number
KR1019980019358A
Other languages
English (en)
Other versions
KR100472341B1 (ko
Inventor
겐이치 야마가
유지 오노
마사히로 미야시타
오사무 다니가와
Original Assignee
히가시 데츠로우
도쿄 일렉트론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP14865097A external-priority patent/JPH10321698A/ja
Priority claimed from JP16805297A external-priority patent/JP3625617B2/ja
Priority claimed from JP20532297A external-priority patent/JPH1131738A/ja
Application filed by 히가시 데츠로우, 도쿄 일렉트론 가부시키가이샤 filed Critical 히가시 데츠로우
Publication of KR19980087424A publication Critical patent/KR19980087424A/ko
Application granted granted Critical
Publication of KR100472341B1 publication Critical patent/KR100472341B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67369Closed carriers characterised by shock absorbing elements, e.g. retainers or cushions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명에 있어서는, 작업영역과 로딩영역을 구획하는 벽부의 개구부에 클로우즈형 카세트가 작업영역의 카세트 탑재대상에 탑재된다. 카세트 탑재대상에 카세트가 탑재되면, 스위치로부터 콘트롤러로 신호가 출력되고, 개폐밸브가 닫혀 로딩영역으로의 질소가스의 공급이 정지된다. 20~30초 후 카세트의 덮개가 열리고, 이어서 질소가스의 공급이 개시된다. 질소가스의 공급을 정지함으로써, 로딩영역내와 카세트내의 차압이 작아져서 용이하게 덮개를 열 수 있다.

Description

기판처리장치, 그 운전방법 및 기판검출 시스템
본 발명은 기판처리장치, 그 운전방법 및 기판검출 시스템에 관한 것이다.
반도체 웨이퍼(이하, 「웨이퍼」라 칭함)의 제조 프로세스의 하나로 성막처리나 산화막 형성 등의 열처리가 있고, 이러한 열처리는 예컨대 도 37에 나타낸 열처리장치에서 행해지고 있다. 이 열처리장치는 오퍼레이터나 자동반송 로보트가 당해 카세트(1)를 운반하는 작업영역(S1)과 당해 작업영역(S1)보다는 청정도가 높은 로딩(loading)영역(S2)에서 벽부(11)에 의해 구획되어 있고, 로딩영역(S2)에는 후술할 열처리로가 설치되어 있다.
이러한 장치에서는, 예컨대 로딩영역(S2)은 자연산화막의 발생이나 열처리로 속으로 대기가 말려 들어가는 것을 방지하기 위해서 질소(N2)가스로 퍼지(purge)됨과 더불어 작업영역(S1)보다도 양압으로 설정되고, 로딩영역(S2)의 청정에어를 작업영역(S1)으로 향해 유출시켜 작업영역(S1)으로부터 로딩영역(S2)으로의 파티클(particle)의 진입을 방지하도록 하고 있다. 이 때문에, 로딩영역(S2)에는 당해 영역내의 질소 농도를 일정하게 유지하기 위해 항상 일정량의 질소가스가 공급되고 있고, 이에 따라 당해 영역의 압력은, 예컨대 대기압에 대해, 예컨대 1Pa이상, 바람직하게는 100Pa정도의 양압으로 되도록 조정되어 있다.
그런데, 반도체 웨이퍼(이하, 「웨이퍼」라 칭함)의 파티클 오염을 억제하기 위해 밀폐형의 웨이퍼 카세트(이하, 「클로우즈형 카세트」라 칭함)가 검토되어 있고(월간 Semiconductor World 1997년 1월호 등 참조), 상기 클로우즈형 카세트는 웨이퍼(W)를, 예컨대 13매 수납하는 카세트 본체(10)와, 상기 카세트 본체(10)에 형성된 웨이퍼 취출구를 기밀하게 막기 위해 덮개(10a)를 구비하고 있다.
상기 클로우즈형 카세트를 상술의 열처리장치에 적용하는 경우에는, 클로우즈형 카세트(1)는 작업영역(S1)측에 설치된 탑재대(12)상에 탑재되어 상기 벽부(11)에 형성된 개구부(11a)에 작업영역(S1)측으로부터 장착된다. 여기에서, 상기 개구부(11a)는 항상 문짝부(13)에 의해 닫혀 있고, 상기 문짝부(13)에 설치된 개폐수단(14)에 의해 덮개(10a)가 열린다. 떼어낸 덮개(10a)는 문짝부(13)를 승강시키고, 수평방향으로 이동시킨 문짝 개폐기구(15)에 의해 문짝부(13)와 더불어 로딩 영역(S2)내로 옮겨지며, 이후 로딩영역(S2)측으로부터 승강자재, 진퇴자재, 회전자재로 설치된 이재(移載)수단(16)에 의해 클로우즈형 카세트내의 웨이퍼(W)가 취출된 웨이퍼 보트(17)에 이재된다. 이어서, 웨이퍼 보트(17)가 열처리로(18)내로 반입되어 소정의 열처리가 행해진다.
그런데, 상기 클로우즈형 카세트(1) 내부는, 예컨대 질소 가스에 의해 퍼지되어 있지만, 카세트(1)내의 압력은 약 1기압(대기압)으로 설정되어 있다. 따라서, 로딩영역(S2) 보다도 클로우즈형 카세트(1)내의 압력이 조금 작기 때문에, 클로우즈형 카세트(1)를 벽부(11)의 개구부(11a)에 장착시킨 덮개(10a)를 열려고 하면, 양쪽의 차압에 의해 덮개(10a)가 열리기 어렵게 되어, 덮개(10a)를 문짝부(13)와 더불어 떼어내기 위한 문짝 개폐기구(15)의 구동에 큰 전력이 필요하게 된다.
또, 상술한 바와 같이 클로우즈형 카세트(1)내와 로딩영역(S2)과의 사이에 차압이 있으면, 덮개(10a)를 열었을 때에 기체가 급격히 저압측의 카세트(1)내에 유입되기 때문에, 이에 따라 파티클이 발생할 우려도 있다.
한편, 클로우즈형 카세트(1)내의 웨이퍼(W)의 유무를 바깥쪽으로부터 확실히 검출할 수 있으면, 그후의 웨이퍼(W) 처리를 행하는데 있어서 형편이 좋다.
본 발명은 이러한 사정을 고려하여 이루어진 것으로, 그 목적은 기판 수용공간이 대기압 또는 대략 대기압인 밀폐형의 기판 카세트내를 양압 분위기의 로딩영역내에 개방함에 있어서, 기판 카세트의 덮개를 원활하게 열 수 있는 기판처리장치, 그 운전방법 및 기판검출 시스템을 제공함에 있다.
도 1은 본 발명에 따른 제1실시형태를 나타낸 기판처리장치의 단면도이고,
도 2는 본 발명에 따른 기판처리장치의 요부를 나타낸 사시도,
도 3은 밀폐형의 기판 카세트를 나타낸 사시도,
도 4는 기판 카세트를 나타낸 단면도,
도 5a 및 도 5b는 클로우즈형 카세트를 카세트 탑재부에 탑재하는 상태를 설명하기 위한 측면도,
도 6은 본 발명에 따른 기판처리방법을 설명하기 위한 단면도,
도 7은 본 발명에 따른 기판처리방법을 설명하기 위한 플로우차트,
도 8은 본 발명에 따른 제2실시형태를 나타낸 기판처리장치의 개략·사시도,
도 9는 도 8의 기판처리장치의 종단·측면도,
도 10은 카세트가 벽부에 장착된 상태를 나타낸 사시도,
도 11a 및 도 11b는 웨이퍼 수수대의 승강동작의 상태를 나타낸 설명도,
도 12는 클로우즈형 카세트를 개폐하기 위한 기구를 나타낸 사시도,
도 13은 클로우즈형 카세트의 기판 매수를 검출하는 기판검출장치를 일부 절결해서 나타낸 사시도,
도 14는 도 12에 나타낸 카세트의 횡단·평면도,
도 15는 클로우즈형 카세트의 기판 매수를 검출하는 기판검출장치의 다른 예를 나타낸 사시도,
도 16은 본 발명에 따른 제3실시형태를 나타낸 기판검출장치의 분해·사시도,
도 17은 도 16에 나타낸 기판검출장치의 단면도,
도 18은 도 16에 나타낸 기판검출장치의 요부를 나타낸 단면도,
도 19는 기판검출장치의 변형예를 나타낸 사시도,
도 20은 도 19에 나타낸 기판검출장치의 요부를 나타낸 단면도,
도 21은 기판검출장치의 변형예를 나타낸 단면도,
도 22는 도 21에 나타낸 기판검출장치의 요부를 나타낸 단면도,
도 23은 도 21에 나타낸 기판검출장치의 요부를 나타낸 사시도,
도 24는 기판검출장치의 변형예의 요부를 나타낸 단면도,
도 25는 기판검출장치의 변형예를 나타낸 분해·사시도,
도 26은 도 25에 나타낸 기판검출장치의 요부를 나타낸 평면도,
도 27a 및 도 27b는 도 25에 나타낸 기판검출장치의 작용을 나타낸 설명도,
도 28은 기판검출장치의 변형예를 나타낸 단면도,
도 29는 도 28에 나타낸 기판검출장치를 구성하는 정전용량센서의 출력의 일례를 나타낸 특성도,
도 30a 및 도 30b는 기판검출장치의 변형예를 나타낸 설명도,
도 31은 도 30a 및 도 30b에 나타낸 기판검출장치를 나타낸 사시도,
도 32는 기판검출장치의 변형예를 나타낸 분해·사시도,
도 33은 도 32에 나타낸 기판검출장치의 작용을 나타낸 단면도,
도 34는 도 32에 나타낸 기판검출장치에 이용되는 고무패드의 다른 예를 나타낸 사시도,
도 35는 기판검출장치의 변형예를 나타낸 개관·사시도,
도 36은 도 35에 나타낸 기판검출장치의 횡단·평면도,
도 37은 종래의 기판처리장치를 나타낸 단면도이다.
본 발명은, 내부가 대략 대기압으로 유지됨과 더불어 카세트 본체와 덮개를 갖춘 기판 수납용 카세트로부터 기판이 반입되는 로딩영역과, 이 로딩영역에 개구부를 갖춘 벽부를 매개로 하여 인접하는 작업영역과, 작업영역내에서 벽부의 개구부에 대응하는 위치에 설치되어 카세트를 탑재하는 카세트 탑재대와, 로딩영역에 설치되어 카세트의 덮개를 떼어내는 덮개 개폐부와, 밸브를 갖추고 로딩영역으로 불활성 가스를 공급하는 불활성 가스공급로와, 카세트 탑재대에 설치되어 카세트가 소정위치에 배치된 것을 검출하는 위치검출부와, 위치검출부로부터의 신호에 기초하여 불활성 가스공급로의 밸브를 닫고, 그후 덮개 개폐부를 작동시켜 카세트 덮개를 연 후, 불활성 가스공급로의 밸브를 여는 제어장치를 구비한 것을 특징으로 하는 기판처리장치,
내부가 대략 대기압으로 유지됨과 더불어 카세트 본체와 덮개를 갖춘 기판수납용 카세트로부터 기판이 반입되는 로딩영역과, 이 로딩영역에 개구부를 갖춘 벽부를 매개로 하여 인접하는 작업영역과, 작업영역내에서 벽부의 개구부에 대응하는 위치에 설치되어 카세트를 탑재하는 카세트 탑재대와, 로딩영역에 설치되어 카세트 덮개를 떼어내는 덮개 개폐부와, 밸브를 갖추고 로딩영역으로 불활성 가스를 공급하는 불활성 가스공급로와, 카세트 탑재대에 설치되어 카세트가 소정 위치에 배치된 것을 검출하는 위치검출부와, 위치검출부로부터의 신호에 기초하여 불활성 가스공급로의 밸브를 닫고, 그후 덮개 개폐부를 작동시켜 카세트 덮개를 연 후, 불활성 가스공급로의 밸브를 여는 제어장치를 구비한 것을 특징으로 하는 기판처리장치의 운전방법에 있어서, 밸브를 열고 불활성 가스공급로로부터 불활성 가스를 로딩영역으로 공급하여 로딩영역을 대기압 이상으로 하는 공정과, 카세트 탑재대에 카세트를 탑재함과 더불어 위치검출부로부터의 신호에 기초하여 불활성 가스공급로의 밸브를 닫아 로딩영역을 대략 대기압으로 하는 공정과, 덮개 개폐부에 의해 카세트의 덮개를 떼어내고 카세트내를 로딩영역에 연통하는 공정과, 불활성 가스공급로의 밸브를 열고 로딩영역을 대기압 이상으로 하는 공정을 구비한 것을 특징으로 하는 기판처리장치의 운전방법,
내부가 대략 대기압으로 유지됨과 더불어 카세트 본체와 덮개를 갖춘 기판 수납용 카세트로부터 기판이 반입되는 로딩영역과, 로딩영역에 개구부를 갖춘 벽부를 매개로 하여 인접하는 작업영역과, 작업영역내에서 벽부의 개구부에 대응하는 위치에 설치되어 카세트를 탑재하는 카세트 탑재대와, 작업영역내에 설치되어 카세트를 바깥쪽으로부터 검출하여 내부에 수납된 기판을 검출하는 기판검출장치를 구비한 것을 특징으로 하는 기판처리장치 및,
내부가 대략 대기압으로 유지됨과 더불어 개구부를 갖는 카세트 본체와 이 개구부를 덮는 덮개를 갖춘 기판 수납용의 카세트와, 카세트를 바깥쪽으로부터 검출하여 내부에 수납된 기판을 검출하는 기판검출장치를 구비한 것을 특징으로 하는 기판검출 시스템이다.
(발명의 실시형태)
(제1실시형태)
본 발명의 기판처리장치를 종형 열처리장치에 적용한 경우에 대해 설명한다. 도 1은 종형 열처리장치의 일실시형태를 나타낸 단면도이고, 도 2는 그 요부를 나타낸 사시도이다. 우선 종형 열처리장치의 전체 구성에 대해 간단히 설명하면, 이 장치는 벽부(21)에 의해 대기압 분위기의 작업영역(S1)과 반입실을 이루는 로딩영역(S2)으로 구획되어 있다. 벽부(21)에는 기판, 예컨대 반도체 웨이퍼(W)의 수수(授受)용의 반입구인 개구부(21a)가 형성되어 있고, 이 개구부(21a)의 작업영역(S1)측에는 밀폐형의 기판 카세트를 이루는 클로우즈형 카세트(3; 이하, 카세트(3)라 칭함)가 장착된다.
카세트(3)에 관해 도 3, 도 4에 기초하여 설명하면, 이 카세트(3)는, 예컨대 13매의 웨이퍼(W)를 선반 형상으로 유지하도록 웨이퍼 유지부(선반; 30)가 다단으로 형성된 카세트 본체(31)와, 이 카세트 본체(31)의 웨이퍼 취출구인 개구부(취출구; 33)를 기밀하게 막기 위해 덮개(32)를 구비하고 있다. 상기 덮개(32)에는, 예컨대 2군데에 열쇠구멍(34)이 형성되어 있고, 이 열쇠구멍(34)에 후술하는 키조작 기구에 설치된 키(35)를 삽입하여 돌림으로써 덮개(32)의 상단과 하단으로부터 도시하지 않은 록크 핀(lock pin)이 돌출하여 카세트 본체(31)에 덮개(32)가 고정되도록 구성되어 있다. 또, 카세트(3)의 저부에는, 예컨대 3군데의 위치에 요부(36)가 형성되어 있다.
이와 같은 카세트(3)는 작업영역(S1) 개구부(21a)의 하방측에 설치된 카세트 탑재대(4)의 스테이지(41)상에 탑재되어 있고, 이 카세트 탑재대(4)에 의해 카세트 (3)는 상기 개구부(21a)에 적합한 위치에 유지된다. 스테이지(41)는 스테이지 지지대(42)에 의해 지지되어 있고, 스테이지 지지대(42)는 카세트(3)의 착탈방향으로 기대(基臺; 44)상에 설치된 가이드 레일(guide rail; 43)에 의해 상기 착탈방향으로 이동할 수 있도록 구성되어 있다.
상기 스테이지(41)의 상면에는, 상기 카세트(3)의 요부(36)와 적합한 위치에, 예컨대 3개의 돌기(41a)가 설치되어 있고, 카세트(3)의 요부(36)에 돌기(41a)가 계합하여 카세트(3)의 스테이지(41)상에서의 위치맞춤이 행해지도록 구성되어 있다. 또, 스테이지(41)의 상면에는 검출부를 이루는 스위치(위치검출부; 5)가 설치되어 있다. 이 스위치(5)는, 예컨대 스테이지(41)로부터 돌출하고 있어 항상 위로 억제되고 있는 핀(51)이지만, 이 억제력에 반하여 위로부터 눌려졌을 때에 제어장치(콘트롤러; C)로 신호를 출력하도록 구성되어 있다. 한편, 도 1중 40은 복수의 카세트(3)을 상하방향으로 배열하여 수용하는 스토커(stocker; 40)이다.
상기 개구부(21a)의 로딩영역(S2)측에는, 당해 개구부(21a)를 기밀하게 막기위한 벽부용의 문짝부(60)가 설치되어 있다. 이 문짝부(60)는 개구부(21a)의 주연부(周緣部)를 덮는 정도의 크기로 형성되어 있고, 문짝개폐기구(61)에 의해 개폐되도록 구성되어 있다. 문짝개폐기구(61)는 문짝부(60)를 승강축(62a)에 의해 승강시키기 위해 승강기대(62)와, 이 승강기대(62)를 수평방향으로 이동시키기 위해 수평기대(63)를 구비하고 있다.
문짝부(60)에는 카세트(3)의 덮개(32)를 개폐하기 위해 키(35)를 진퇴·회전시키는 키조작기구(64)가 조합시켜 설치되어 있다. 이 문짝부(60)와 덮개(32)의 개폐를 행하기 위해 문짝개폐기구(61)와 키조작기구(64)는 덮개개폐부(6)를 구성하고 있고, 이 덮개개폐부(6)는 콘트롤러(C)에 의해 구동이 제어되도록 구성되어 있는 동시에, 덮개(32)가 열린 것을 인식하고, 이 인식한 신호를 콘트롤러(C)로 출력하도록 구성되어 있다.
로딩영역(S2)의 안쪽에는 웨이퍼에 대해 열처리를 행하기 위한 기판처리부를 이루는 열처리로(71)가 설치되어 있고, 이 열처리로(71)의 아래쪽에는, 예컨대 150매의 웨이퍼(W)를 선반형상으로 유지하고 보트 엘리베이터(73)에 의해 열처리로(71)에 반입하기 위한 웨이퍼 보트(72)가 설치되어 있다. 또, 로딩영역(S2)의 개구부(21a)의 바로 안쪽에는 카세트(3)와 웨이퍼 보트(72)와의 사이에서 웨이퍼의 이재(移載)를 행하기 위한 웨이퍼 이재기구(8)가 설치되어 있고, 이 웨이퍼 이재기구(8)는 웨이퍼를 복수매, 예컨대 5매 일괄해서 이재할 수 있고, 또 1매씩의 이재도 할 수 있도록, 예컨대 5매 이재 암(arm; 81)을 갖추고 있는데, 이 이재암(81)은 진퇴자재, 승강자재, 회전자재로 구성되어 있다.
또, 로딩영역(S2)의 측벽에는, 예컨대 열처리로(71)와 웨이퍼(W)의 이재위치에 있는 경우의 웨이퍼 보트(72)와의 사이에 개구하도록 불활성 가스공급로를 이루는 가스공급관(22)이 설치되어 있고, 이 가스공급관(22)의 타단측에는 도시하지 않은 불활성 가스, 예컨대 질소가스의 공급원이 접속되어 있다. 가스공급관(22)은 콘트롤러(C)에 의해 개폐가 제어되도록 구성된 개폐밸브(V1)를 구비하고 있으며, 로딩영역(S2)으로의 질소가스의 공급의 정지, 개시가 콘트롤러(C)에 의해 제어되도록 되어 있다. 더욱이, 로딩영역(S2)의 측벽 하부측에는 배기관(23)이 접속되어 있고, 이 배기관(23)의 타단측은 대기개방의 공장 배기로 개구되어 있다.
이어서, 상기 장치에서 실시되는 기판처리방법에 관해 도 5 내지 도 7에 기초하여 설명한다. 상기 카세트(3)는 웨이퍼(W)가 수납된 후, 예컨대 질소가스에 의해 퍼지되어 있고, 카세트(3)내는 대기압 또는 대략 대기압으로 유지되어 있다. 한편, 로딩영역(S2)내는 배기관(23)을 매개로 하여 배기하면서 질소가스가 가스공급관(22)에 의해 항상 일정량 공급되고 있고, 예컨대 대기압보다도 1Pa이상, 바람직하게는 100Pa 정도 양압으로 되도록 유지되어 있다(도 7의 스텝 S1).
우선, 카세트(3)를 도시하지 않은 자동반송 로보트에 의해 도 5a에 나타낸 바와 같이, 카세트 탑재부(4)의 스테이지(41)상의 돌기(41a)와 카세트(3)의 요부(36)와의 위치를 맞추면서 돌기(41a)가 요부(36)와 계합하도록 탑재한다(스텝 S2). 그리고, 도시하지 않은 압박기구에 의해 스테이지 지지대(42)를 억눌러서 카세트(3)를 개구부(21a)에 장착한다. 이 때 스위치(5)에서는, 카세트(3)가 카세트 탑재부(4)에 탑재되면, 도 5b에 나타낸 바와 같이 핀(51)이 카세트 본체(31)의 저면에 의해 압박되어 온(on)상태로 되고, 콘트롤러(C)로 신호(A1)를 출력한다(도 6 참조).
콘트롤러(C)에서는 상기 신호(A1)에 기초하여 항상 열려 있는 개폐밸브(V1)에 폐(閉)지령신호(B1)를 출력하고, 이에 따라 개폐밸브(V1)가 닫혀 로딩영역(S2)으로의 질소가스의 공급이 정지한다(스텝 S3). 그리고, 질소가스의 공급이 정지하고 나서 소정시간, 예컨대 20~30초 경과 후, 콘트롤러(C)에 의해 덮개개폐부(6)에 대해 문짝부(60)와 덮개(32)를 열도록 신호(B2)를 출력한다(스텝 S4).
이와 같이, 질소가스의 공급이 정지하면, 대기개방의 공장배기에 의해 로딩영역(S2)내의 압력은 서서히 저하해 가고, 공급정지로부터 20~30초 경과후에는 대략 대기압 정도까지 감압한다. 한편, 질소가스의 공급을 20~30초 정지하여도 배기관(23)이 충분히 길기 때문에 외기가 역류할 염려는 없다.
덮개개폐부(6)에서는 상기 신호(B2)에 기초하여 덮개개폐수단(6)의 키조작기구(64)는 키(35)를 덮개(32)의 열쇠구멍(34)에 삽입하여 돌리고, 이에 따라 록크 핀을 해제한다. 따라서, 문짝개폐기구(61)의 수평기대(63)에 의해 문짝부(60)를 후퇴시키고 나서 승강기대(62)에 의해 하강시켜 덮개(32)를 문짝부(60)와 더불어 개구부(21a)로부터 떼어낸다.
이 때, 덮개개페부(6)에서는 덮개(32)가 떼어지면, 콘트롤러(C)로 신호(A2)를 출력한다. 콘트롤러(C)에서는 상기 신호(A2)에 기초하여 닫혀 있는 개폐밸브(V1)로 개(開)지령신호(B3)를 출력하고, 이에 따라 개폐밸브(V1)가 열려 로딩영역(S2)으로의 질소가스 공급이 재개된다(스텝 S5).
한편, 로딩영역(S2)에서는 이재기구(8)의 이재 암(81)을 카세트(3)내로 진입시켜 카세트(3)의 5매의 웨이퍼(W)를 일괄적으로 들어올려 유지하고, 웨이퍼 보트(72)로 이재한다. 이렇게 해서 웨이퍼 보트(72)에, 예컨대 150매의 웨이퍼(W)를 이재한후, 보트 엘리베이터(73)에 의해 웨이퍼 보트(72)를 상승시켜 열처리로(71)내로 반입하고, 웨이퍼(W)에 대해 소정의 열처리를 행한다.
이와 같은 기판처리방법에서는, 로딩영역(S2)으로의 질소가스의 공급을 정지하고, 당해 영역(S2)의 압력을 대략 대기압 정도로 하여 로딩영역(S2)내와 카세트 (3)내의 차압을 없애거나 또는 상당히 작게 하고 나서 덮개(32)가 열린다. 이 때문에, 덮개(32)는 외부로부터 압박력을 거의 받지 않고 원활하게 열릴 수 있고, 이에 따라 덮개(32)를 떼어내기 위한 덮개개폐부(6)의 구동력이 작게 끝난다. 또, 덮개(32)를 열었을 때에 기체가 급격하게 카세트(3)내로 유입되는 것이 억제되어 파티클의 발생이 방지된다.
상술한 형태에서는, 개폐밸브(V1)를 닫은 후, 20~30초 경과후에 로딩영역(S2)의 압력이 내려가는 것을 예상해서 덮개(32)를 열도록 하고 있지만, 로딩영역(S2)내의 압력을 검출하고, 이 압력이 소정치까지 내려갔을 때에 덮개개폐부(6)로 문짝부(60) 및 덮개(32)를 열기 위한 신호를 출력하도록 해도 좋다. 또, 카세트(3)의 위치 검출은 카세트(3)를 카세트 탑재부(4)에 탑재한 것을 검출하는 구성에 한정되지 않고, 예컨대 작업영역(S1)내로 카세트를 반송하기 위한 자동반송 로보트가 카세트(3)를 떼어놓은 것을 검출하는 구성으로 해도 좋다.
더욱이, 질소가스의 공급이 정지되고 나서 대기압으로 내려가기까지의 시간(T)을 미리 구해 놓고, 자동반송 로보트의 카세트 반송과정에 있어서, 카세트의 덮개를 여는 시점으로부터 시간(T)만큼 전에 상당하는 반송시점으로 된 것을 소프트웨어상에서 검출하고, 제어계로 신호를 보내도록 해도 좋다. 이와 같이 구성하면, 카세트 (3)를 벽부(21)에 장착하고 나서 덮개(32)를 열기까지 대기하는 시간을 생략할 수 있기 때문에, 스루풋(throughput)을 향상시킬 수 있다.
더욱이 또, 상술한 형태에서는 덮개개폐부(6)가 덮개(32)를 열었을 때에 출력되는 신호에 기초하여 콘트롤러(C)로부터 상기 개폐밸브(V1)의 개(開)지령신호를 출력하도록 하고 있지만, 카세트(3)의 위치를 검출한 때에 타이머가 기동되어 개폐밸브(V1)가 닫히고 나서 카세트(3)의 덮개(32)가 열릴 때까지의 시간 경과 후에 타임업(time up)하고, 이 타임업시에 출력된 신호에 기초하여 개폐밸브(V1)를 열도록 해도 좋다.
또한, 상술한 실시형태에 있어서는 로딩영역(S2)을 배기관(23)을 매개로 하여 대기 개방의 공장배기에 접속하도록 구성하였지만, 배기관(23)의 타단측을 배기용 펌프에 접속하는 동시에 배기관(23)의 도중에 배기용 밸브를 설치하도록 구성해도 좋다. 이와 같은 구성에서는, 질소가스의 공급이 정지하면, 배기펌프에 따른 배기에 의해 로딩영역(S2)내의 압력은 서서히 저하해 가고, 질소가스의 공급정지로부터 20~30초 경과후에는 거의 대기압까지 감압된다. 이 배기펌프의 배기파워는 작기 때문에 로딩영역(S2)내는 거의 부압으로 되지 않아, 처리상 문제로 되는 일은 없다.
본 발명에 의하면, 기판수용공간이 대기압 또는 대략 대기압인 밀폐형의 기판카세트를 양압분위기의 반입실내에 개방함에 있어서, 기판카세트의 덮개를 원활하게 열 수 있다.
(제2실시형태)
이하에, 본 발명의 기판처리장치를 종형 열처리장치에 적용한 실시형태에 대해 설명한다. 도 8 및 도 9는 각각 당해 실시형태에 따른 종형 열처리장치를 나타낸 사시도 및 종단·측면도이고, 도 8에서는 편의상 도시한 장치의 외장부나 내부의 벽부 등은 생략하고 있다. 이 열처리장치는, 기판 예컨대 반도체 웨이퍼의 반송용기인 카세트(120)의 입출포트를 이루는 반입출 스테이지(102)와, 카세트(120)를 일시적으로 수납해 두는 카세트 수납부인 카세트 수납선반(스토커; 103)과, 카세트(120)내의 웨이퍼를 웨이퍼 보트(141)에 이재하고, 웨이퍼 보트(141)를 처리부인 열처리로(140)내로 로딩하는 로딩영역(104)을 구비하고 있다.
상기 반입출 스테이지(102)는, 카세트(120)를 탑재하기 위한 검출대(121)와, 이 검출대(121)를 승강하기 위한 승강부(121a)와, 상기 카세트(120)내를 광축이 가로지르도록 설치된 광센서(105)를 구비하고 있다. 이 광센서(105)는 후에 상술하겠지만, 카세트(120)의 구조와 관련하여 카세트(120)내 웨이퍼의 수납 매수 및 수납 위치('몇단째에 웨이퍼가 유지되어 있는가'라는 정보)를 광학적으로 구하는 기판검출장치인 웨이퍼 검출장치의 일부를 구성하는 것으로, 여기에서 검출된 카세트내의 정보는 제어장치(150)로 보내진다.
상기 카세트 수납선반(103)은 반입출 스테이지(102)의 안쪽영역의 위쪽에 위치해 있고, 카세트 이재기(131)에 의해 반입출 스테이지(102)와 카세트 수납선반(103)과의 사이에서 카세트(120)가 이재된다.
상기 카세트 이재기(131)는, 승강 가이드(132)를 따라 승강가능한 승강기체(133)와, 이 승강기체(133)에 설치되어 반입출 스테이지(102)로부터 보아 전후로 동작가능한 수평다관절 암(arm)으로 이루어진 이재암(134)을 구비하고, 카세트(120)를 매달아 반입출 스테이지(102), 카세트 수납선반(103) 및 후술하는 웨이퍼 수수용의 유지대(143)의 사이에서 카세트(120)를 이재하는 역할을 담당한다.
상기 로딩영역(104)은 벽부(142)에 의해 둘러싸여 외부에 대해서는 밀폐되어 있고, 도시하지 않은 가스공급원 및 배기계에 의해, 예컨대 질소가스 분위기로 되어 있다. 로딩영역(104)을 둘러싸고, 반입출 스테이지(102)에 대향하는 벽부(142)의 외측에는, 예컨대 2개의 카세트(120)를 상하로 2개 탑재할 수 있도록 웨이퍼 수수용의 카세트 유지대(143)가 설치되어 있다.
한편, 도 10에 나타낸 바와 같이 상기 벽부(142)에는 카세트(120)가 장착되어 카세트(120)내로부터 웨이퍼(W)를 취출하기 위한 개구부(144)가 형성되어 있고, 카세트 탑재대(143)에 놓인 카세트(120)는 로딩영역(104)측에 설치되어 개구부(144)를 막고 있는 문짝부(145)에 마주 접하기까지, 도시하지 않은 가이드를 따라서 카세트 이재기(131)에 의해 압출된다. 이 예에서는 1개의 개구부(144)에 대해 2단의 카세트 탑재대(143)가 설치되어 있으며, 이들 탑재대(143)는 도시하지 않은 승강부에 의해 승강가능하고, 도 11a 및 도 11b에 나타낸 바와 같이 각 탑재대(143)의 카세트(120)가 교대로 순차개구부(144)에 대응하는 레벨에 위치하도록 구성되어 있다.
여기에서, 클로우즈형 카세트(120)의 구조 및 카세트(120)의 덮개(125)의 개폐에 대해 설명해 둔다. 이 카세트(120)는, 도 12에 나타낸 바와 같이 웨이퍼의 주연 일부를 유지하도록 양측부에 선반(122)이 다단으로 설치된 카세트 본체(123)와, 이 카세트 본체(123)의 웨이퍼의 취출구인 개구부(취출구; 124)를 기밀하게 막기 위한 덮개(125)를 구비하고 있고, 예컨대 13매의 웨이퍼(W)를 각각 대략 수평으로 상하에 간격을 두고 유지하도록 구성되어 있다. 상기 덮개(125)에는, 예컨대 2군데에 열쇠구멍(126)이 형성되어 있고, 이 열쇠구멍(126)에 키(127)를 삽입하여 돌림으로써 덮개(125)의 상단 및 하단으로부터 도시하지 않은 록크 핀이 돌출하여 카세트 본체(123)에 덮개(125)가 고정되도록 되어 있다.
상기 키(127)는 도시하지 않은 키조작기구와 더불어 상기 문짝(145)에 조합시켜 설치되어 있으며, 카세트(120)가 벽부(142)의 개구부(144)에 장착되면, 키(127)가 열쇠구멍(126)에 꽂히고, 키(127)를 조작해서 키(127)를 매개로 하여 문짝(145)과 더불어 덮개(125)가 열린다. 이 동작은 문짝(145)이 도 9에 나타낸 문짝개폐기구(146)에 의해, 예컨대 카세트(120)에 대해 후퇴하고, 그후 하강 또는 수평한 축의 주위로 회전·이동함으로써 행해진다.
상기 로딩영역(104)내에는 카세트(120)와 웨이퍼 보트(141)와의 사이에서 웨이퍼의 이재를 행하기 위한 기판이재기인 웨이퍼이재기(106)가 설치되어 있고, 이 웨이퍼이재기(106)는, 예컨대 웨이퍼(W)를 복수매 일괄해서 이재할 수 있고, 또 1매씩의 이재도 할 수 있도록 복수의 암(161)을 구비하고 있으며, 진퇴자재, 승강자재, 회전자재로 구성되어 있다. 상기 웨이퍼 보트(141)는, 예컨대 다단으로 웨이퍼 유지구가 형성된 복수개의 지주를 구비하고, 다수매의 웨이퍼(W)를 선반상에 유지하도록 구성되어 있다. 이 웨이퍼 보트(141)는 보트 엘리베이터(162)의 상승에 의해 열처리로(140)내로 반입된다.
여기에서, 상기 광센서(105)를 이용한 웨이퍼 검출장치에 관해 도 13 및 도 14를 참조하면서 설명한다. 이 예에서 이용되는 클로우즈형 카세트(120)의 카세트 본체(123)에 대해, 덮개(125)에 의해 개폐되는 개구부를 전면측으로 하면, 좌우양측벽의 중앙부분, 예컨대 10수미리 폭의 대상(帶狀)부분(107; 사선부분)이 광투과성의 재료, 예컨대 투명 플라스틱으로 구성되는 동시에, 당해 중앙부분(대상부분; 107)의 바깥면이 평활한 면으로서 형성되어 있다. 더욱이, 양측벽의 중앙부분(107, 107)을 연결하는 영역에는 웨이퍼 유지용의 선반(122)이 존재하지 않도록 구성한다. 요컨대, 상기 선반(122)은 중앙부가 절결된 상태, 즉, 절결부(122a)를 갖춘 상태로 되어 있다.
그리고, 카세트(120)가 검출대(121)에 놓일 때에 카세트 본체(123)의 좌우중앙부분(107, 107)을 연결하는 라인의 연장선상으로 되는 위치에 카세트(120)를 끼워서 서로 대향하는 광센서(105)를 구성하는 발광부(151) 및 수광부(152)가 설치되어 있다. 한편, 상기 검출대(121)는 도 9에 나타낸 승강부(121a)에 의해 승강하고, 이에 따라 광센서(105)의 광축(L)이 카세트(120) 상단으로부터 하단까지 주사되고, 수광부(152)의 수광신호에 기초하여 각 단의 웨이퍼(W)의 유무를 검출할 수 있다.
이와 같이 카세트(120)를 구성하면, 광축(L)상의 이동로에서의 카세트(120)의 바깥면이 평탄면이기 때문에, 발광부(151)로부터 카세트(120)의 벽부내로의 광이 입사할 때 및 벽부로부터 외측으로 광이 나갈 때의 광의 굴절이 적고, 게다가 광축(L)의 이동로상에는 절결부(122)가 형성되고 선반(122)이 존재하지 않기 때문에 광이 통하기 좋고, 이 결과 발광부(151)로부터의 광이 웨이퍼(W)에 의해 차단되고 있을 때의 수광부(152)의 수광신호와, 웨이퍼에 의해 차단되지 않을 때의 수광신호를 구별하는 드레숄드(threshold) 레벨의 설정이 용이하다. 이 결과, 광축(L)을 카세트(120)에 대해 상대적으로 상하로 이동시킨 때에 수광부(152)의 수광신호에 기초하여 카세트(120)의 어느 선반(122)상에 웨이퍼(W)가 놓여 있고, 어느 선반(122)에는 놓여 있지 않는가 하는 것을 확실하게 검출할 수 있고, 웨이퍼(W)의 매핑정보, 요컨대 웨이퍼(W)의 수납 매수 및 수납 위치를 검출할 수 있다.
또, 광센서로서는 반사형의 센서를 이용하고, 웨이퍼(W)의 주단부(周端部)에서의 반사광을 검출하도록 해도 좋다. 더욱이, 카세트(120)에 대해서 상기 중앙부분(107) 이외도 광투과성의 재료로 만들도록 해도 좋다. 더욱이 또, 중앙부분(107)의 바깥면은 반드시 평탄면이 아니라도 좋고, 광축(L)상에 선반(122)이 설치되어 있어도 좋다.
상기 실시형태에 있어서는, 검출대(121), 승강부(121a) 및 광센서(105)에 의해 기판검출장치가 구성되고, 이 기판검출장치와 카세트(120)에 의해 기판검출 시스템이 구성되어 있다.
다음에, 상술한 열처리장치의 전체의 동작에 대해 설명한다. 우선, 외부로부터, 예컨대 2개의 카세트(120)를 도시하지 않은 자동반송 로보트 또는 오퍼레이터에 의해 반입출 스테이지(102)의 검출대(121)상에 놓는다. 이어서, 이 검출대(121)가 승강하고, 광센서(105)의 신호에 기초해서 각 카세트(120)내의 웨이퍼의 수납 매수 및 수납 위치가 검출되고, 검출신호가 제어장치(150)로 보내진다.
이어서, 카세트 이재기(131)에 의해 반입출 스테이지(102)상의 카세트(120)를 일단 카세트 수납선반(103)에 수납한다. 제어장치(150)는 카세트 수납선반(103)에 수납되어 있는 각 카세트(120)내의 웨이퍼(W) 매수를 이미 파악하고 있기 때문에, 웨이퍼(W)의 매수정보와 웨이퍼 보트(141)에서의 피처리 웨이퍼(W)의 최대 탑재수에 기초해서 1배치(batch)처리의 카세트(120)의 조합을 결정하고, 그 조합에 따라서 카세트 이재기(131)가 웨이퍼 수수용의 카세트 탑재대(143)에 카세트(120)를 이재한다. 또한, 경우에 따라서는 반입출 스테이지(102)상의 카세트(120)는 직접 카세트 탑재대(143)에 이재된다.
벽부(142)의 개구부(144)에 대응하는 위치에 있는 카세트 탑재대(143)에 놓인 카세트(120)는 상기 문짝(145)에 마주 접하는 위치까지 압출되고, 문짝(145)측의 키(127)와 카세트(120)의 덮개(125)측의 열쇠구멍(126)이 계합하여 이미 설명한 바와 같이 덮개(125)가 열린다. 그후, 웨이퍼 이재기(106)에 의해 카세트(120)내의 웨이퍼(W)가 취출되고, 웨이퍼 보트(141)에 이재된다. 이 웨이퍼(W)의 이재는 2단의 각 카세트 탑재대(143)상의 카세트(120)에 대해 순차적으로 행해진다. 이렇게 해서 웨이퍼 보트(141)상에 소정 매수의 웨이퍼(W)가 탑재되면, 보트 엘리베이터(162)에 의해 열처리로(140)내로 로딩되고, 소정의 열처리가 행해진다. 열처리 종료후는 상술한 동작과는 역의 동작에 의해 웨이퍼(W)가 카세트(120)로 되돌려지고, 카세트(120)는 반입출 스테이지(102)를 매개로 하여 외부로 반출된다.
이와 같은 실시형태에 의하면, 로딩영역(104)에서 클로우즈형 카세트(120)의 덮개(125)를 열기 전에, 이미 반입출 스테이지(102)에 카세트(120)가 놓여 있을 때에 각 카세트(120)내 웨이퍼의 매수 및 수납 위치를 구하고, 그 정보를 제어장치(150)측에서 파악하고 있기 때문에, 예컨대 카세트(120)의 태그(tag)를 통해 전의 공정으로부터 제어장치(150)에 전달된 웨이퍼 매수의 정보가 잘못되어 있었다고 해도, 카세트(120)내의 실제의 웨이퍼 매수에 따라 시퀀스를 짜서 카세트(120)를 웨이퍼 수수용의 카세트 탑재대(143)로 이재할 수 있다. 이 때문에, 덮개(125)를 열은 때에 예정하고 있던 웨이퍼 매수에 대해 과부족이 발생하여 시퀀스를 다시 짜거나, 번잡한 덮개(125)의 개폐작업을 쓸데없이 행한다는 것을 회피할 수 있다.
특히 카세트 수납선반(103)에 카세트(120)를 비축해 두고 오퍼레이터가 장치로부터 떨어진 경우, 카세트(120)를 카세트 수납선반(103)에 반입하기 전에 예정외의 빈 카세트(120)의 존재나 웨이퍼의 부족을 알 수 있기 때문에, 그 시점에서 대응을 취할 수 있고, 따라서 오퍼레이터가 장치로부터 떨어진 후에 웨이퍼 매수의 부족에 의해 장치가 멈춰 버린다는 문제를 피할 수 있다.
이상에 있어서 기판검출장치는, 도 15에 나타낸 바와 같이 카세트(120)가 검출대(121)에 놓여진 때에 중량계측부(108)로 카세트(120)의 중량을 계측하고, 그 중량계측치에 기초해서 카세트(120)내의 웨이퍼 매수를 구하도록 해도 좋다. 이 수법에서는, 카세트(120) 자체의 중량 및 웨이퍼 1매의 중량이 미리 알려 있기 때문에, 카세트(120)의 총중량을 계산함으로써 카세트(120)내에 웨이퍼가 몇 매 수납되어 있는가를 알 수 있다.
또한, 본 발명은 기판검출장치를 반입출 스테이지에 설치하는 것에 한정되지 않고, 클로우즈형 카세트의 덮개를 열기 전이라면 어느 타이밍에서 웨이퍼 매수를 검출하도록 해도 좋다. 또, 본 발명은 종형 열처리장치에 한정되지 않고, 스퍼터링 장치나 세정장치 등 다른 웨이퍼 처리장치에 대해서도 적용할 수 있다.
본 발명의 기판처리장치에 의하면, 클로우즈형 카세트를 이용하여 기판을 장치내로 반입하고, 이 카세트로부터 기판을 취출하여 소정의 처리를 행함에 있어서 효율적으로 원활하게 운전할 수 있다. 또, 본 발명의 기판검출장치에 의하면, 클로우즈형 카세트내의 기판매수를 확실하면서도 간단하게 검출할 수 있고, 예컨대 본 발명의 기판처리장치에 호적하게 이용할 수 있다.
(제3실시형태)
이하, 본 발명을 클로우즈형 웨이퍼 카세트내의 웨이퍼를 검출하는 장치에 적용한 실시형태에 대해 설명한다.
실시형태 3-1
우선, 클로우즈형 웨이퍼 카세트의 전체 구조에 대해 도 16을 참조하면서 설명한다. 이 카세트(200)는 전면이 웨이퍼의 취출구를 만드는 개구부(취출구; 211)로서 형성된 수지제의 상자형 카세트 본체(201)와, 상기 개구부(211)를 기밀하게 닫는 덮개(202)로 이루어진다. 카세트 본체(201)의 저면에는 도시하지 않았지만 복수의 짧은 각부(脚部)를 매개로 하여 탑재판이 설치되어 있고, 이 탑재판을 처리장치의 예컨대 카세트 스테이지에 위치결정하여 탑재함으로써, 카세트(200)가 소정의 위치에 놓여지게 된다.
상기 덮개(202)에는, 예컨대 2군데에 열쇠구멍(221)이 형성되어 있고, 이 열쇠구멍(221)에 키(222)를 삽입하여 돌림으로써 덮개(202)의 상단 및 하단으로부터 도시하지 않은 록크 핀이 돌출하여 카세트 본체(201)에 덮개(202)가 고정되도록 되어 있다.
상기 키(222)는 도시하지 않은 키조작기구와 더불어 기체(223)에 조합시켜 설치되어 있으며, 카세트(200)가 소정위치에 탑재되면, 키(222)가 열쇠구멍(221)에 꽂히고 키(222)를 조작하여 키(222)를 매개로 하여 기체(223)에 의해 덮개(202)가 열린다. 이 동작은 기체(223)가 개폐기구(224)에 의해, 예컨대 카세트(200)에 대해서 후퇴하고, 그후 하강 또는 수평한 축의 주위로 회전함으로써 행해진다.
상기 카세트 기체(201)내에는 복수단, 예컨대 13단의 선반(212)이 개구부(211)측으로부터 보아 좌우측면에 형성되어 있고, 이 선반(212)에 기판, 예컨대 웨이퍼(W)의 주연부가 유지되고, 웨이퍼(W)는 거의 수평자세로 된다. 선반(212)은 다음과 같이 구성된다. 즉, 도 17에 나타낸 바와 같이 카세트 본체(201)의 양측면에 있어서, 선반(212)에 유지되는 웨이퍼(W)의 높이 방향으로 번갈아 위치하도록 카세트 본체(201)의 외측으로부터 벽면을 내측으로 움푹 패어 들어가게 하여 횡으로 대상으로 뻗는 복수의 패임부분(213)을 형성하고, 이 패임부분(213) 사이에 선반(212)이 형성되어 있다. 패임부분(213)을 구성하는 바깥벽면은 후술하는 바와 같이 발광부(231)로부터의 광이 통과해야 하기 때문에, 투명재료, 예컨대 투명한 수지에 의해 구성된다. 여기서, 투명재료란 완전한 투명을 의미하는 것은 아니고, 광센서의 광이 투과하는 것이면 좋다.
한편, 소정위치에 탑재된 카세트(200)의 바깥측에는 카세트 본체(201)의 한쪽 측면과 대향하는 위치에 측면형상이 역디귿자형의 투과형 광센서유니트(203)가 설치되어 있다. 이 유니트(203)는 윗쪽의 패임부분(213)에 삽입된 발광부(231)와 아랫쪽의 패임부분(213)에 삽입된 수광부(232)를 갖추고, 신호선(233)을 매개로 하여 신호처리부(234)에 접속되어 있다. 이 예에서는 발광부(231) 및 수광부(232)는 각각 발광소자 및 수광소자를 포함하고 있지만, 패임부분(213) 밖에 발광소자 및 수광소자가 위치하고, 패임부분(213)내에 삽입되는 부분은 광로부재만이어도 좋은 바 ,이 경우에는 광로부재가 발광부 또는 수광부로 된다.
또, 상기한 유니트(203)는 카세트 본체(201)의 측면을 따라서 승강할 수 있고, 더욱이 당해 측면을 따라 진퇴할 수 있도록 도시하지 않은 구동기구에 조합되어 있다. 또, 유니트(203)는 고정하여 두고 카세트(200)측을 움직이도록 해도 좋다.
다음에, 본 발명의 실시형태의 작용에 대해 설명한다. 웨이퍼(W)가 수납된 카세트(200)는, 예컨대 종형 열처리장치의 입출력보트를 이루는 스테이지에 놓이고, 광센서유니트(203)의 센서 헤드에 상당하는 발광부(231) 및 수광부(232)가 각각 위로부터 1단째의 패임부분(213) 및 2단째의 패임부분(213)의 중간에 삽입된다. 발광부(231) 예컨대 발광소자로부터 광이 도 18에 나타낸 바와 같이 수광부(232) 예컨대 수광소자로 향하지만, 상단의 선반(212; 2단째의 패임부분(213)으로 구성되는 선반(212))상에 웨이퍼(W)의 주연부가 유지되어 있으면, 이 웨이퍼(W)에 의해 광이 차단되기 때문에 수광부(232)에서는 상기 광을 수광하지 않는다. 이에 대해, 웨이퍼(W) 주연부가 유지되어 있지 않으면 수광부(232)는 발광부(231)로부터의 광을 수광하기 때문에 수광부(232)의 수광신호를 신호처리부(234)로 처리함으로써 카세트(200)내의 1단째(도 17의 최상단의 패임부분(213) 아래의 패임부분(213)으로 만들어진 선반(212))에 웨이퍼(W)가 있는가 없는가를 검출할 수 있다.
이어서, 광센서유니트(203)를 순차 패임부분(213)의 1단씩 아래로 어긋나게 하여 마찬가지의 동작을 행함으로써 매핑정보, 즉 카세트(200)내로 수납되어 있는 웨이퍼(W) 매수 및 수납 위치(몇단째에 웨이퍼(W)가 있는가 하는 것)를 검출할 수 있다.
이 실시형태에 의하면, 카세트 본체(201)의 측면을 움푹 패어 들어가게 하여 투과형 센서의 광축을 웨이퍼(W)와 교차하도록 하고 있기 때문에, 횡으로부터 측정하는 경우와 같이 선반의 유무 등에 기초한 카세트의 두께 변화의 영향이나 카세트 본체(201)의 바깥면에서 반사하는 주위의 광의 영향 등을 받지 않기 때문에, 신뢰성이 높은 매핑을 행할 수 있다.
광센서로서는 반사형 센서를 이용할 수 있고, 이 경우는 발광부(231) 및 수광부(232)를, 예컨대 같은 높이 위치에 설치하여 센서 헤드를 구성하고, 이 센서 헤드를 최상단의 패임부분(213)으로부터 순차 삽입해 가면 좋고, 도 17에 나타낸 최하단 패임부분(213)은 불필요하다.
또, 광센서유니트(203)로서는 발광부(231) 및 수광부(232)의 조를 선반(212)의 단수만큼, 예컨대 13조 설치하고, 13단의 각 위치에서의 웨이퍼(W)의 유무를 일괄해서 검출하도록 해도 좋다. 이 경우는, 예컨대 13개의 센서헤드 중에서 최상단의 센서 헤드에는 발광부, 최하단의 센서 헤드에는 수광부, 그 이외의 센서 헤드에는 발광부 및 수광부를 설치하고, 상하로 인접하는 발광부 및 수광부에 의해 웨이퍼(W)의 검출이 행해진다.
더욱이, 패임부분(213)은 카세트 본체(201)의 좌우의 한쪽의 측면에만 형성하면 좋지만, 양쪽에 형성하면 광센서유니트의 설치위치 등에 관해서 유연한 대응을 취할 수 있다. 또, 패임부분(213)은 후면에 설치해도 좋다.
그리고 또, 상기 패임부분(213)은 도 19 및 도 20에 나타낸 바와 같이 선반(212)에서의 웨이퍼(W)의 주연부가 유지되는 영역, 예컨대 전후방향의 중앙부 부근에 선반(212)을 구성하는 두께부분(212a)을 움푹 패어 들어가게 하여 형성하도록 해도 좋다.
상기 실시형태에 있어서는, 광센서유니트(203)에 의해 기판검출장치가 구성되고, 기판검출장치와 카세트(200)에 의해 기판검출 시스템이 구성된다.
실시형태 3-2
이 실시형태는 광학적으로 웨이퍼(W)의 유무를 검출하는 점에서는 상기의 실시형태 3-1과 마찬가지이지만, 발광부 및 수광부에 상당하는 부분, 말하자면 센서헤드를 카세트(200)내에 설치한 점이 다르다.
도 21 및 도 22는 실시형태 3-2를 나타낸 도면이고, 각 선반(212) 및 최상단의 선반(212)의 상방위치에는 파이버(fiber)로 이루어진 광로부재(204)가 설치되어 있다. 최상단의 광로부재(204)는 웨이퍼 유지용의 선반(212)과 마찬가지로 카세트 본체(201)의 내벽에 만들어진 돌출부(240)내에 기단부가 매설되고, 선단부가 돌출부(240)로부터 다른 광로부재(204)와 마찬가지로 돌출하여 설치되어 있다.
다른 광로부재(204)는 기단부가 선반(212)내에 매설되고, 선단부가 선반(212)으로부터 돌출하여 설치되어 있고, 상술한 전면의 취출구로부터 보아 광축(L)이 기단측으로부터, 예컨대 오른쪽방향으로 뻗고, 선반(212)상의 웨이퍼(W)와 교차하도록 위 또는 아래로 굴곡하도록 구성되어 있다.
광로부재(204)로서는 도 23에 나타낸 바와 같이 발광용의 광로부재(241)와 수광용의 광로부재(242)가 있고, 최상단의 광로부재(204)는 예컨대 발광용의 광로부재(241)만, 최하단의 광로부재(204)는 수광용의 광로부재(242)만으로 구성되지만, 그 이외의 광로부재(204)는 같은 높이 위치에 나란히 설치된 광로부재(241, 242)에 의해 구성된다. 발광용의 광로부재(241)의 선단면은 아래로 향하고 있고, 또 수광용의 광로부재(242)의 선단면은 위로 향하고 있으며, 도 22에 나타낸 바와 같이 상단측의 광로부재(241)로부터 발생된 광이 그 아래의 광로부재(242)에 의해 수광되도록 되어 있다. 또, 이들 광로부재(204; 241,242)는 내부 광로부재를 이루는 것이다.
한편, 카세트 본체(201)의 외측에는 카세트 본체(201)에 대해 상대적으로 진퇴자재한 광센서유니트(243)가 설치되고, 이 광센서유니트(243)는 상기 광로부재(204; 241,242)에 각각 접속·분리자재로 구성된 광로부재(244)를 구비하고 있다. 광로부재(244)는 외부 광로부재를 이루는 것으로, 발광용의 광로부재(245)와 수광용의 광로부재(246)가 있다. 광로부재(204)의 기단측은 커넥터(connector)부를 이루고 있고, 광로부재(244; 245, 246)가 꽂혀 각각 광로부재(204; 241, 242)와 광학적으로 접속되도록 되어 있다.
광센서유니트(243)는 도 22에 나타낸 바와 같이 발광용의 광로부재(245)의 기단부측 및 수광용의 광로부재(246)의 기단부측에 각각 설치된 발광소자(247) 및 수광소자(248)를 구비하는 동시에, 신호선(249)을 매개로 하여 신호처리부(249)에 접속되어 있다.
여기서는, 광로부재(204, 244) 및 광센서유니트(243)에 의해 기판검출장치가 구성된다.
이와 같은 장치에서는, 카세트(200)가 소정위치에 놓이면, 광센서유니트(243)가 도시하지 않은 구동기구에 의해 카세트 본체(201)측으로 이동하고, 외부 광로부재(244)가 커넥터부(204a)에 꽂혀, 내부 광로부재(204)에 광학적으로 접속된다. 그리고, 선반(212)상에 웨이퍼(W)가 놓여져 있으면, 서로 상하로 인접하는 발광용의 광로부재(241) 및 수광용의 광로부재(242) 사이의 광축을 웨이퍼(W)가 차단하기 때문에, 각 수광소자(248)로부터의 신호를 신호처리부(249)로 처리함으로써 매핑정보가 얻어진다.
본 실시형태에 의하면, 웨이퍼검출용의 광이 통과하는 영역에는 웨이퍼(W) 이외는 존재하지 않기 때문에, 웨이퍼(W)가 있는 경우와 없는 경우의 수광신호의 차가 명확하기 때문에, 신뢰성이 높은 매핑을 행할 수 있다.
또한, 내부 광로부재(241, 242) 사이의 광축상에 선반(212)의 일부 등이 존재해도 좋다. 또, 상술한 예에서는 투과형 센서를 구성하고 있지만, 반사형 센서로 구성해도 좋다. 더욱이, 광센서유니트(243)는, 예컨대 13단에 대해 일괄 검출하는 구조 대신에 상하 1조의 외부 광로부재(245, 246)를 갖추고, 각 단의 커넥터부(204a)에 순차 접속해 가도록 해도 좋다. 또, 예컨대 카세트 본체(201)의 선반(212) 및 측면에서의 광로로 되는 부분을 유리나 투명한 플라스틱 등의 광투과성의 재료로 구성하고, 도 24에 나타낸 바와 같이 선반(212)의 선단부를 비스듬히 커트해서 내부 광로부재(204)를 겸용하도록 해도 좋다. 또한 이 경우도 발광용의 광로부재(241) 및 수광용의 광로부재(242)는 모두 각 단마다 설치되어 있다.
실시형태 3-3
이 실시형태에서, 도 25에 나타낸 바와 같이 덮개(202)를 카세트 본체(201)에 장착하여 개구부(211)를 닫은 때에 선반(212)에 유지된 웨이퍼(W) 단부에 의해 압박력을 받도록 감압탄성체로 이루어진 고무패드(205)가 덮개(202)의 내면측에 고정하여 설치되어 있다. 이 고무패드(감압탄성체; 205)는 압박된 부위의 색이 변화하는, 예컨대 흰색으로부터 적색으로 변하는 것으로, 예컨대 두께 2mm로 만들어져 있다.
덮개(202)에서의 적어도 고무패드(205)의 외측에 대향하는 부분은 투명재료로 만들어져 있고, 고무패드(205)를 덮개(202)에 고정하는 수단으로서는 도시하지 않았지만, 예컨대 고무의 상단면과 하단면을 덮개(202)의 내측면에 횡으로 뻗도록 상하에 설치한 누름부재에 의해 누르도록 하면 좋다. 또, 덮개(202)를 개폐하는 열쇠구멍 등은 도면중에서는 생략하고 있다.
또, 카세트(200)가 소정위치에 놓여 있을 때에 덮개(202)와 대향하도록 색변화검출부(251)가 설치되어 있다. 이 색변화검출부(251)는 도 25 및 도26에 나타낸 바와 같이, 예컨대 반사형 광센서로 이루어지고, 카세트(200)에 대해 상대적으로 승강자재로 설치되어 있다. 250은 신호처리부이다.
여기서는, 고무패드(205) 및 색변화검출부(251)에 의해 기판검출장치가 구성된다.
이와 같은 구성에 있어서, 웨이퍼(W)가 선반(212)에 유지되어 있으면, 도 27a에 나타낸 바와 같이 당해 웨이퍼(W)에 의해 고무패드(205)가 압박되고, 압박된 부분의 색이 변화하기 때문에 도 27b에 나타낸 바와 같이 웨이퍼(W)의 배열에 따라, 예컨대 흰색 고무패드(205)중에서 대상(帶狀)으로 적색부분(색변화부분; 252)이 배열된다. 색이 변화한 부분과 변화하지 않은 부분에서는 반사광의 강도가 다르기 때문에, 수광신호의 레벨이 다르고, 따라서 반사형 광센서(251)를 상하로 스캔함으로써 각 단의 웨이퍼(W)의 유무를 검출할 수 있다. 또한, 색변화를 검출하기 위해서는 카메라로 고무패드(205)를 촬상하고, 그 화상을 처리함으로써 행해도 좋다.
본 실시형태에 의하면, 각 단의 웨이퍼(W)의 유무를 감압탄성체의 색변화로서 포착하기 때문에, 카세트(200)밖으로부터 용이하면서 높은 신뢰성으로 웨이퍼(W)의 유무를 검출할 수 있다.
실시형태 3-4
이 실시형태는 도 28에 나타낸 바와 같이 카세트(200)가 소정위치에 놓여 있을 때에, 예컨대 카세트(200) 외측에 있어서 측면을 따라 상대적으로 승강할 수 있도록 정전용량 센서(206)를 설치하고, 이 정전용량 센서(206)에 의해 카세트(200)내의 정전용량을 측정하고 그 출력을 신호처리부로 처리하도록 구성하고 있다. 정전용량은 웨이퍼(W)가 유지되어 있는 영역에서는 유지되어 있지 않은 영역보다도 커지기 때문에, 카세트(200)의 높이 위치와 정전용량과의 관계는 도 29에 나타낸 바와 같이 되고, 이 예에서는 9단째에 웨이퍼(W)가 없음을 알 수 있다. 따라서, 본 발명에 의하면, 카세트(200) 바깥으로부터 용이하게 웨이퍼(W)의 유무를 검출할 수 있다.
여기서는, 정전용량 센서(206)에 의해 기판검출장치가 구성된다.
실시형태 3-5
이 실시형태에서는, 도 30a 및 도 30b에 나타낸 바와 같은 카세트 본체(201)내의 양측면에 있어서 각 선반(212)의 유지면에 노출하도록 전극(207)이 선반(212)에 매설되어 있다. 이 전극(207)은 웨이퍼(W)를 선반(212)에 놓은 때에 웨이퍼(W)와 접촉하는 위치에 설치되지만, 예컨대 유지면으로부터 다소 돌출시키도록 해도 좋다. 같은 단에 있어서 좌우 선반(212)에 설치된 전극(207, 207)은 전기적으로 분리된 1쌍의 전극을 이루고, 웨이퍼(W)를 당해 선반(212)에 놓음으로써 이 웨이퍼(W)를 통해 전기적으로 접속되도록 되어 있다.
카세트 본체(201)의 한쪽측의 측면에 상하로 배열된 전극(207)은, 예컨대 선반(212)이 13단 설치되어 있는 경우 각각 단자(A1~A13)에 전기적으로 접속되는 동시에, 다른쪽측의 측면에 상하로 배열된 전극(207)은 공통 단자(B)에 접속되어 있다. 또, 카세트(200)의 외측에는 일단이 단자(B)에 접속된 저항치 측정부(271)와 단자(A1~A13)를 순차 측정부(271)의 타단으로 바꿔 접속하는 스위치열(272)을 포함한 저항치 측정수단(270)이 설치되어 있다.
또, 도 30b는 전기적인 배선도로서 나타내고 있지만, 구조로서는 예컨대 도 31에 나타낸 바와 같이 카세트 본체(201)에 단자 A1~A13 및 B를 갖춘 커넥터(273)를 설치하고, 저항치 측정수단(270)측에 설치한 커넥터(274)를 도시하지 않은 작동기구에 의해 착탈하도록 구성할 수 있다.
여기서는, 전극(207, 207)과 저항치 측정수단(270)에 의해 기판검출장치가 구성된다.
이와 같은 구성에 의하면, 웨이퍼(W)가 선반(212)에 놓여 있지 않은 때에는 선반(212)에 설치된 1쌍의 전극(207,207)간의 저항치는 무한대이지만, 웨이퍼(W)가 선반(212)에 탑재되면, 1쌍의 전극(207,207) 사이는 웨이퍼(W)에 의해 전기적으로 접속되고, 웨이퍼(W)에 대응하는 저항치로 되기 때문에, 이 저항치의 차에 기초하여 웨이퍼(W)의 유무를 검출할 수 있다. 따라서, 이 경우에도 카세트(200) 바깥으로부터 높은 신뢰성으로 매핑정보를 얻을 수 있다.
실시형태 3-6
이 실시형태에서는, 도 32 및 도 33에 나타낸 바와 같이 카세트(200)의 덮개(202)의 내면측에 탄성체, 예컨대 바닥이 얕은 상자형으로 형성된 고무패드(208)를 카세트(200) 내면측에 저면부분이 향하도록, 즉 개구테두리(281)가 덮개(202)에 마주 접하도록 장착하고 있다. 덮개(202)의 내면에는 고무패드(208)가 횡폭으로 적합한 거리만큼 떨어져 2개의 누름부재(282)가 세로로 평행하게 설치되어 있고, 고무패드(208)는 도 33a에 나타낸 바와 같이 이들 누름부재(282, 282) 사이에 끼워짐으로써 덮개(202)에 장착된다.
상기 고무패드(208)의 덮개(202)측의 면에는 각 단의 웨이퍼(W)와 대응하는 위치에 작동편, 예컨대 핀(283)이 설치되는 동시에, 덮개(202)에는 이들 핀(283)과 대응하는 위치에 관통구멍(284)이 설치되어 있다. 또, 덮개(202)의 외측에는 광축이 핀(283)의 돌출영역을 가로지르도록 좌우에 각각 발광부(285) 및 수광부(286)가 설치되어 있다. 이들 발광부(285) 및 수광부(286)는 투과형 센서를 이루는 것으로, 핀(283)의 돌출 유무를 검출하기 위한 것이지만, 핀(283)의 돌출 유무는 다른 수단에 의해 검출해도 좋다.
이와 같은 구성에서는, 웨이퍼(W)가 선반(212)에 놓여 있지 않은 때에는 그 높이 위치에서의 고무패드(208)의 부위는 웨이퍼(W)로부터의 압박을 받지 않고, 도 33a에 나타낸 바와 같이 핀(283)은 돌출하지 않지만, 웨이퍼(W)가 선반(212)에 놓여진 때에는 상기 고무패드(208)의 부위는 웨이퍼(W)로부터 압박되어 외측으로 팽창하고, 이 때문에 핀(283)은 관통구멍(284)을 통해서 덮개(202)의 외측으로 돌출한다(도 33b). 즉, 웨이퍼(W)가 놓여져 있는 단에 대응하는 핀(283)이 돌출하고, 그 이외의 핀(283)은 돌출하지 않기 때문에, 예컨대 발광부(285) 및 수광부(286)를 승강시켜 핀(283)의 돌출 유무를 검출함으로써 각 단의 웨이퍼(W)의 유무를 검출할 수 있다.
또한, 고무패드(208)는 도 34에 나타낸 바와 같이 각 단에 대응하는 영역이 서로 분리독립해도 좋다. 도면 중 280은 고무패드(208)에 잘라 끼워 넣은 선이다.
여기서는, 고무패드(208)와 핀(283)과 발광부(285) 및 수광부(286)에 의해 기판검출장치가 구성된다.
본 실시형태에 의하면, 각 단의 웨이퍼(W)의 유무를 핀(283)의 돌출 유무에 의해 파악하도록 하고 있기 때문에, 정확한 매핑정보가 얻어진다. 또, 탄성체로서는 고무패드에 한정되지 않고, 덮개(202)를 닫은 때에 복원력에 대향하여 작동편을 돌출시키는 것이라면 용수철 등이어도 좋다.
실시형태 3-7
이 실시형태에서는, 도 35 및 도 36에 나타낸 바와 같이 카세트 본체(201)의 좌우양측면에 선반(212)을 설치하는 동시에, 선반(212)의 후단과 카세트 본체(201)의 배면(291)과의 사이에 간격(292)을 형성하고, 웨이퍼(W)를 선반(212)에 유지시킨 때에 선반(212)의 후단보다도 후방측에 웨이퍼(W)의 일부가 존재하도록 카세트 본체(201)가 구성되어 있다. 그리고, 카세트 본체(201)의 양측면에 있어서 선반(212)의 후단보다도 후방측의 부위에는, 예컨대 투명한 플라스틱이나 유리 등으로이루어지고 양면이 평행으로 평탄한 광투과창(293)이 형성되어 있다.
더욱이, 상기 광투과창(293,293)의 외측에는 광센서를 이루는 발광부(294) 및 수광부(295)가 설치되고, 발광부(294)의 광축(L)이 도 36에 나타낸 바와 같이 위에서 보았을 때에 웨이퍼(W)의 탑재영역을 통과하도록 광센서의 횡방향 위치가 설정된다. 296은 신호처리부이다. 또, 카세트 본체(201)는 상기 광센서에 대해 도시하지 않은 승강부에 의해 상대적으로 승강할 수 있도록 되어 있고, 예컨대 카세트 본체(201)를 탑재하는 도시하지 않은 탑재대가 도시하지 않은 승강기구에 의해 승강한다. 이 승강에 의해 상기 광축(L)이 카세트 본체(201)의 상단으로부터 하단까지 주사되고, 수광부(295)의 수광신호에 의해 각 단의 웨이퍼(W)의 유무를 검출할 수 있다.
또한, 이 실시형태에 있어서는 선반(212)의 전단과 덮개(202)와의 사이에 간격을 형성하고, 이 간격에 대응하는 카세트 본체(201)의 양측면에 광투과창을 설치하며, 이 광투과창을 이용하여 광학적으로 웨이퍼(W)의 유무를 검출하도록 해도 좋다. 또, 광센서는 반사형 센서이어도 좋고, 수광부는 수광소자라도 좋으며, CCD카메라 등이어도 좋다. 더욱이, 웨이퍼(W)의 수납 매수만큼, 즉 각 단 마다 발광부 및 수광부를 설치하도록 해도 좋다.
여기서는, 발광부(294)와 수광부(295)에 의해 기판검출장치가 구성된다.
이와 같은 실시형태에 의하면, 광투과창(293)의 양면이 평탄면이기 때문에,발광부(294)로부터 카세트 본체(201)의 벽부내로 광이 입사할 때 및 벽부로부터 외측으로 광이 나갈 때의 광의 굴절이 적고, 게다가 광축(L)상에는 선반(212)이 존재하지 않기 때문에, 광이 통과하기 좋고, 이 결과 발광부(294)로부터의 광이 웨이퍼(W)에 의해 차단될 때의 수광부(295)의 수광신호와 웨이퍼에 의해 차단되지 않을 때의 수광신호를 구별하는 드레숄드 레벨의 설정이 용이하다.
본 발명에 의하면, 클로우즈형 카세트내의 각 단의 기판의 유무를 덮개를 열지 않고 검출할 수 있다.
또, 제3실시형태의 실시형태 3-1 내지 실시형태 3-7에 있어서, 기판검출장치와 카세트에 의해 기판검출 시스템이 구성된다. 또, 실시형태 3-1 내지 실시형태 3-7에 나타낸 기판검출장치를 제2실시형태에서의 기판검출장치 대신에 이용해도 좋다.
본 발명에 의하면, 기판수용공간이 대기압 또는 대략 대기압인 밀폐형의 기판카세트를 양압분위기의 반입실내에 개방함에 있어서, 기판카세트의 덮개를 원활하게 열 수 있다.

Claims (32)

  1. 내부가 대략 대기압으로 유지됨과 더불어 카세트 본체와 덮개를 갖춘 기판수납용의 카세트로부터 기판이 반송되는 로딩영역과, 이 로딩영역에 개구부를 갖춘 벽부를 매개로 하여 인접하는 작업영역과, 작업영역내에서 벽부의 개구부에 대향하는 위치에 설치되어 카세트를 탑재하는 카세트 탑재대와, 로딩영역에 설치되어 카세트의 덮개를 떼어내는 덮개개폐부와, 밸브를 갖추고, 로딩영역으로 불활성 가스를 공급하는 불활성 가스 공급로와, 카세트 탑재대에 설치되어 카세트가 소정위치에 배치된 것을 검출하는 위치검출부와, 위치검출부로부터의 신호에 기초하여 불활성 가스 공급로의 밸브를 닫고, 그후 덮개 개폐부를 작동시켜 카세트의 덮개를 연 후, 불활성 가스 공급로의 밸브를 여는 제어장치를 구비한 것을 특징으로 하는 기판처리장치.
  2. 제1항에 있어서, 카세트의 덮개가 열린 경우, 덮개 개폐부로부터 카세트의 덮개가 열린 신호가 제어장치로 출력되고, 제어장치는 그후 불활성 가스 공급로의 밸브를 여는 것을 특징으로 하는 기판처리장치.
  3. 제1항에 있어서, 벽부의 개구부에 문짝부가 개폐자재로 설치되어 있는 것을 특징으로 하는 기판처리장치.
  4. 제3항에 있어서, 문짝부는 문짝개폐기구에 의해 작동됨과 더불어, 문짝부에 덮개를 개폐하는 조작기구가 설치되고, 문짝부, 문짝개폐기구 및 조작기구에 의해 덮개개폐부가 구성되는 것을 특징으로 하는 기판처리장치.
  5. 내부가 대략 대기압으로 유지됨과 더불어 카세트 본체와 덮개를 갖춘 기판수납용의 카세트로부터 기판이 반입되는 로딩영역과, 이 로딩영역에 개구부를 갖춘 벽부를 매개로 하여 인접하는 작업영역과, 작업영역내에서 벽부의 개구부에 대응하는 위치에 설치되어 카세트를 탑재하는 카세트 탑재대와, 로딩영역에 설치되어 카세트의 덮개를 떼어내는 덮개 개폐부와, 밸브를 갖추고 로딩영역으로 불활성 가스를 공급하는 불활성 가스 공급로와, 카세트 탑재대에 설치되어 카세트가 소정위치에 배치된 것을 검출하는 위치검출부와, 위치검출부로부터의 신호에 기초하여 불활성 가스 공급로의 밸브를 닫고, 그후 덮개 개폐부를 작동시켜 카세트의 덮개를 연후, 불활성 가스 공급로의 밸브를 여는 제어장치를 구비한 것을 특징으로 하는 기판처리장치의 운전방법에 있어서, 밸브를 열고 불활성 가스 공급로로부터 불활성 가스를 로딩영역으로 공급하여 로딩영역을 대기압 이상으로 하는 공정과, 카세트 탑재대에 카세트를 탑재함과 더불어 위치검출부로부터의 신호에 기초하여 불활성 가스 공급로의 밸브를 닫아 로딩영역을 대략 대기압으로 하는 공정과, 덮개 개폐부에 의해 카세트의 덮개를 떼어내고 카세트내를 로딩영역에 연통하는 공정과, 불활성 가스 공급로의 밸브를 열어 로딩영역을 대기압 이상으로 하는 공정을 구비한 것을 특징으로 하는 기판처리장치의 운전방법.
  6. 내부가 대략 대기압으로 유지됨과 더불어 카세트 본체와 덮개를 갖춘 기판수납용의 카세트로부터 기판이 반입되는 로딩영역과, 로딩영역에 개구부를 갖춘 벽부를 매개로 하여 인접하는 작업영역과, 작업영역내에서 벽부의 개구부에 대응하는 위치에 설치되어 카세트를 탑재하는 카세트 탑재대와, 작업영역내에 설치되어 카세트를 바깥쪽으로부터 검출하여 내부에 수납된 기판을 검출하는 기판검출장치를 구비한 것을 특징으로 하는 기판처리장치.
  7. 제6항에 있어서, 기판검출장치와 카세트 탑재대와의 사이에 설치되어 카세트를 일시적으로 수납하는 카세트 수납부와, 기판검출장치, 카세트 수납부 및 카세트 탑재대의 사이에서 카세트를 이재하는 카세트 이재부를 더 구비한 것을 특징으로 하는 기판처리장치.
  8. 제6항에 있어서, 기판검출장치는, 카세트를 지지하는 검출대와, 검출대상의 카세트 본체에 대하여 광을 조사하는 발광부 및 발광부로부터의 광을 수광하는 수광부와, 검출대상의 카세트를 발광부 및 수광부에 대하여 상대적으로 승강시키는 승강기구를 구비하고, 카세트 본체의 광투과부분은 광투과재료로 구성되어 있는 것을 특징으로 하는 기판처리장치
  9. 제8항에 있어서, 카세트 본체에는 기판유지용의 선반이 설치되고, 선반중 광투과부분에 절결부가 설치되어 있는 것을 특징으로 하는 기판처리장치.
  10. 제8항에 있어서, 카세트 본체의 광투과부분의 바깥면은 평활하게 되어 있는 것을 특징으로 하는 기판처리장치.
  11. 제6항에 있어서, 기판검출장치는, 카세트를 지지하는 검출대와, 검출대에 설치된 카세트의 중량을 계측하고, 이 중량에 기초하여 카세트내의 기판 매수를 구하는 중량계측수단을 구비한 것을 특징으로 하는 기판처리장치.
  12. 제6항에 있어서, 카세트 본체의 측벽에 패임부분이 설치되고, 기판검출장치는 패임부분에 배치된 발광부와 수광부로 이루어지고, 카세트 본체의 광투과부분은 광투과재료로 구성되어 있는 것을 특징으로 하는 기판처리장치.
  13. 제12항에 있어서, 카세트 본체의 패임부분은 기판수납용의 선반을 구성하는 것을 특징으로 하는 기판처리장치.
  14. 제6항에 있어서, 기판검출장치는 카세트 본체의 내부에 기판을 끼워 설치된 발광용의 내부광로부재 및 수광용의 내부광로부재와, 카세트 본체의 외부에 설치되고, 발광용의 내부광로부재 및 수광용의 내부광로부재에 각각 접속된 발광용의 외부광로부재 및 수광용의 외부광로부재를 구비한 것을 특징으로 하는 기판처리장치.
  15. 제6항에 있어서, 기판검출장치는, 덮개 내면에 설치되고, 기판에 마주 접하여 압박력에 의해 색이 변화하는 감압탄성체와, 덮개의 바깥쪽으로부터 감압탄성체의 색이 변화한 부분을 검출하는 색변화검출부를 구비한 것을 특징으로 하는 기판처리장치.
  16. 제6항에 있어서, 기판검출장치는 카세트의 바깥쪽에 설치되어 카세트내의 정전용량을 검출하는 정전용량센서로 이루어진 것을 특징으로 하는 기판처리장치.
  17. 제6항에 있어서, 기판검출장치는, 카세트내에 설치되어 기판의 양단부에 마주 접하는 1쌍의 전극과, 1쌍의 전극간의 저항치를 측정하기 위한 저항치 측정수단을 구비한 것을 특징으로 하는 기판처리장치.
  18. 제6항에 있어서, 기판검출장치는, 덮개 내면에 설치되어 기판에 마주 접하여 변형하는 탄성체와, 이 탄성체의 변형에 따라 덮개 바깥쪽으로 돌출하는 작동편과, 카세트의 바깥쪽에 설치되어 작동편의 돌출상태를 검출하는 작동편 검출부를 구비한 것을 특징으로 하는 기판처리장치.
  19. 제6항에 있어서, 카세트 본체에는 기판유지용의 선반이 설치되고, 기판검출장치는, 카세트 본체의 단부에서 선반이 없는 부분에 대하여 광을 조사하는 발광부와, 발광부로부터의 광을 수광하는 수광부를 구비하며, 카세트 본체중 광투과부분은 광투과재료로 구성되어 있는 것을 특징으로 하는 기판처리장치.
  20. 내부가 대략 대기압으로 유지됨과 더불어 취출구를 갖춘 카세트 본체와, 이 개구부를 덮는 덮개부를 갖춘 기판수납용의 카세트와, 카세트를 바깥쪽으로부터 검출하여 내부에 수납된 기판을 검출하는 기판검출장치를 구비한 것을 특징으로 하는 기판검출 시스템.
  21. 제20항에 있어서, 기판검출장치는, 카세트를 지지하는 검출대와, 검출대상의 카세트 본체에 대하여 광을 조사하는 발광부 및 발광부로부터의 광을 수광하는 수광부와, 검출대상의 카세트를 발광부 및 수광부에 대하여 상대적으로 승강시키는 승강기구를 구비하고, 카세트 본체의 광투과부분은 광투과재료로 구성되어 있는 것을 특징으로 하는 기판검출 시스템.
  22. 제20항에 있어서, 카세트 본체에는 기판유지용의 선반이 설치되고, 선반중 광투과부분에 절결부가 설치되어 있는 것을 특징으로 하는 기판검출 시스템.
  23. 제20항에 있어서, 카세트 본체의 광투과부분의 바깥면은 평활하게 되어 있는 것을 특징으로 하는 기판검출 시스템.
  24. 제20항에 있어서, 기판검출장치는, 카세트를 지지하는 검출대와, 검출대에 설치되어 카세트의 중량을 계측하고, 이 중량에 기초하여 카세트내의 기판 매수를 구하는 중량계측수단을 구비한 것을 특징으로 기판검출 시스템.
  25. 제20항에 있어서, 카세트 본체의 측벽에 패임부분이 설치되고, 기판검출장치는, 패임부분에 배치된 발광부와 수광부로 이루어지고, 카세트 본체의 광투과부분은 광투과재료로 구성되어 있는 것을 특징으로 하는 기판검출 시스템.
  26. 제20항에 있어서, 카세트 본체의 패임부분은 기판수납용의 선반을 구성하는 것을 특징으로 하는 기판검출 시스템.
  27. 제20항에 있어서, 기판검출장치는, 카세트 본체의 내부에 기판을 끼워 설치된 발광용의 내부광로부재 및 수광용의 내부광로부재와, 카세트 본체의 외부에 설치되고, 발광용의 내부광로부재 및 수광용의 내부광로부재에 각각 접속된 발광용의 외부광로부재 및 수광용의 외부광로부재를 구비한 것을 특징으로 하는 기판검출 시스템.
  28. 제20항에 있어서, 기판검출장치는, 덮개 내면에 설치되고, 기판에 마주 접하여 압박력에 의해 색이 변화하는 감압탄성체와, 덮개의 바깥쪽으로부터 감압탄성체의 색이 변화한 부분을 검출하는 색변화검출부를 구비한 것을 특징으로 하는 기판검출 시스템.
  29. 제20항에 있어서, 기판검출장치는 카세트 바깥쪽에 설치되어 카세트내의 정전용량을 검출하는 정전용량 센서로 이루어진 것을 특징으로 하는 기판검출 시스템.
  30. 제20항에 있어서, 기판검출장치는, 카세트내에 설치되어 기판의 양단부에 마주 접하는 1쌍의 전극과, 1쌍의 전극간의 저항치를 측정하기 위한 저항치 측정수단을 구비한 것을 특징으로 하는 기판검출 시스템.
  31. 제20항에 있어서, 기판검출장치는, 덮개 내면에 설치되어 기판에 마주 접하여 변형하는 탄성체와, 이 탄성체의 변형에 따라 덮개 바깥쪽으로 돌출하는 작동편과, 카세트의 바깥쪽에 설치되어 작동편의 돌출상태를 검출하는 작동편 검출부를 구비한 것을 특징으로 하는 기판검출 시스템.
  32. 제20항에 있어서, 카세트 본체에는 기판유지용의 선반이 설치되고, 기판검출장치는, 카세트 본체의 단부에서 선반이 없는 부분에 대하여 광을 조사하는 발광부와, 발광부로부터의 광을 수광하는 수광부를 구비하며, 카세트 본체중 광투과부분은 광투과재료로 구성되어 있는 것을 특징으로 하는 기판검출 시스템.
KR10-1998-0019358A 1997-05-21 1998-05-27 기판처리장치,그운전방법및기판검출시스템 KR100472341B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP14865097A JPH10321698A (ja) 1997-05-21 1997-05-21 基板処理方法及びその装置
JP97-148650 1997-05-21
JP16805297A JP3625617B2 (ja) 1997-06-10 1997-06-10 基板処理装置、カセット内の基板検出装置
JP97-168052 1997-06-10
JP20532297A JPH1131738A (ja) 1997-07-14 1997-07-14 クロ−ズ型基板カセット内の基板の検出装置
JP97-205322 1997-07-14

Publications (2)

Publication Number Publication Date
KR19980087424A true KR19980087424A (ko) 1998-12-05
KR100472341B1 KR100472341B1 (ko) 2005-07-05

Family

ID=27319592

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0019358A KR100472341B1 (ko) 1997-05-21 1998-05-27 기판처리장치,그운전방법및기판검출시스템

Country Status (3)

Country Link
US (1) US6390754B2 (ko)
KR (1) KR100472341B1 (ko)
TW (1) TW411546B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100823430B1 (ko) * 2001-05-30 2008-04-17 가부시키가이샤 다이헨 카세트 반송 방법 및 카세트 반송 시스템
KR100850815B1 (ko) * 2003-07-03 2008-08-06 도쿄엘렉트론가부시키가이샤 처리 장치

Families Citing this family (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6041488A (en) * 1996-04-16 2000-03-28 Seagate Technology, Inc. Semi-automated media rework process
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6763281B2 (en) * 1999-04-19 2004-07-13 Applied Materials, Inc Apparatus for alignment of automated workpiece handling systems
US6612797B1 (en) * 1999-05-18 2003-09-02 Asyst Technologies, Inc. Cassette buffering within a minienvironment
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
TW501194B (en) * 2000-08-23 2002-09-01 Tokyo Electron Ltd Processing system for object to be processed
JP3581310B2 (ja) * 2000-08-31 2004-10-27 Tdk株式会社 防塵機能を備えた半導体ウェーハ処理装置
KR100410991B1 (ko) * 2001-02-22 2003-12-18 삼성전자주식회사 반도체 제조장치의 로드포트
JP3627697B2 (ja) * 2001-11-16 2005-03-09 日本電気株式会社 パケットの宛先検索システム
US7134826B2 (en) * 2001-11-28 2006-11-14 Dainippon Screen Mfg. Co., Ltd. Substrate transfer apparatus, substrate processing apparatus and holding table
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
JP2004103990A (ja) * 2002-09-12 2004-04-02 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
US7614840B2 (en) * 2002-12-30 2009-11-10 Tdk Corporation Wafer processing apparatus having dust proof function
US20060183070A1 (en) * 2003-09-25 2006-08-17 Hitachi Kosusai Electric Inc. Substrate processing device and method of producing substrates
US7214027B2 (en) * 2003-10-16 2007-05-08 Varian Semiconductor Equipment Associates, Inc. Wafer handler method and system
CN1669892B (zh) * 2003-11-13 2011-11-16 应用材料股份有限公司 高速载入器相对于基片传送系统的校准
US6830449B1 (en) * 2004-02-02 2004-12-14 Sis Microelectronics Corporation Injector robot for replacing a gas injector in a furnace
WO2006023838A2 (en) 2004-08-19 2006-03-02 Brooks Automation, Inc. Reduced capacity carrier and method of use
WO2006030849A1 (ja) * 2004-09-15 2006-03-23 Hitachi Kokusai Electric Inc. 半導体製造装置および半導体装置の製造方法
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
JP4012189B2 (ja) * 2004-10-26 2007-11-21 Tdk株式会社 ウエハ検出装置
JP2006237559A (ja) * 2005-01-28 2006-09-07 Dainippon Screen Mfg Co Ltd 基板処理装置
JP5123851B2 (ja) * 2005-07-08 2013-01-23 クロッシング オートメーション インコーポレイテッド 加工物を格納するための加工物容器
US7577487B2 (en) * 2005-09-14 2009-08-18 Applied Materials, Inc. Methods and apparatus for a band to band transfer module
KR100702844B1 (ko) * 2005-11-14 2007-04-03 삼성전자주식회사 로드락 챔버 및 그를 이용한 반도체 제조설비
JP4642787B2 (ja) * 2006-05-09 2011-03-02 東京エレクトロン株式会社 基板搬送装置及び縦型熱処理装置
JP2009049232A (ja) * 2007-08-21 2009-03-05 Dainippon Screen Mfg Co Ltd 基板処理装置
US7791720B2 (en) * 2007-12-31 2010-09-07 Texas Instruments Incorporated Semiconductor manufacturing peripheral verification tool
US8882431B2 (en) * 2008-10-07 2014-11-11 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer robot and substrate transfer system
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
TWI363030B (en) * 2009-07-10 2012-05-01 Gudeng Prec Industral Co Ltd Wafer container with top flange structure
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5278698B2 (ja) 2009-09-04 2013-09-04 株式会社ダイフク カセット搬送装置
JP5318005B2 (ja) * 2010-03-10 2013-10-16 株式会社Sokudo 基板処理装置、ストッカー装置および基板収納容器の搬送方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN105185283B (zh) * 2015-10-23 2017-12-08 京东方科技集团股份有限公司 检测装置、基板架、检测基板架上基板位置的方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
JP6425850B1 (ja) * 2017-11-22 2018-11-21 日本エア・リキード株式会社 固体材料容器およびその固体材料容器に固体材料が充填されている固体材料製品
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) * 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) * 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113725115B (zh) * 2021-08-31 2023-08-29 广东良友科技有限公司 一种led贴片支架裂缝检测提取装置及其检测方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114628292B (zh) * 2022-05-16 2022-07-29 上海果纳半导体技术有限公司武汉分公司 晶圆传输盒

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4895486A (en) * 1987-05-15 1990-01-23 Roboptek, Inc. Wafer monitoring device
US5003188A (en) * 1988-11-18 1991-03-26 Tokyo Aircraft Instrument Co., Ltd. Semiconductor waxer detection system
US5265170A (en) * 1990-01-11 1993-11-23 Hine Design, Inc. Devices and methods for reading identification marks on semiconductor wafers
JPH04345049A (ja) * 1991-05-22 1992-12-01 Mitsubishi Electric Corp ウェハ配列パターン検出装置およびその検出方法
DE4238834A1 (en) * 1991-11-18 1993-05-19 Fusion Systems Corp Robotic semiconductor wafer transporter with vertical photodetector array - scans detectors to determine which slots are occupied by wafers illuminated from emitter on robot arm
KR940006241A (ko) * 1992-06-05 1994-03-23 이노우에 아키라 기판이재장치 및 이재방법
US5636960A (en) * 1992-07-29 1997-06-10 Tokyo Electron Limited Apparatus for detecting and aligning a substrate
US5246218A (en) * 1992-09-25 1993-09-21 Intel Corporation Apparatus for securing an automatically loaded wafer cassette on a wafer processing equipment
US5605428A (en) * 1993-03-05 1997-02-25 Jenoptik Gmbh Device for indexing magazine compartments and wafer-shaped objects in the compartments
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US5418382A (en) * 1993-09-23 1995-05-23 Fsi International, Inc. Substrate location and detection apparatus
DE59611078D1 (de) * 1995-03-28 2004-10-14 Brooks Automation Gmbh Be- und Entladestation für Halbleiterbearbeitungsanlagen
US5895191A (en) * 1995-08-23 1999-04-20 Asyst Technologies Sealable, transportable container adapted for horizontal loading and unloading
KR100315007B1 (ko) * 1995-11-22 2002-02-28 이시다 아키라 카세트내의 기판 검출 및 반송장치와 그 방법
JPH09199432A (ja) 1996-01-18 1997-07-31 Kokusai Electric Co Ltd ガスパージ装置
JPH09199433A (ja) 1996-01-18 1997-07-31 Kokusai Electric Co Ltd ガスパージボックス
US5980195A (en) * 1996-04-24 1999-11-09 Tokyo Electron, Ltd. Positioning apparatus for substrates to be processed
US5788082A (en) * 1996-07-12 1998-08-04 Fluoroware, Inc. Wafer carrier
US6068668A (en) * 1997-03-31 2000-05-30 Motorola, Inc. Process for forming a semiconductor device
JPH10284577A (ja) * 1997-04-09 1998-10-23 Tokyo Electron Ltd 被処理基板の移載方法
DE19728478C2 (de) * 1997-07-03 2003-08-21 Brooks Pri Automation Germany Verfahren zur optoelektronischen Erkennung von scheibenförmigen Objekten unter Nutzung derer Stirnseiten
US6010008A (en) * 1997-07-11 2000-01-04 Fluoroware, Inc. Transport module
US6082951A (en) * 1998-01-23 2000-07-04 Applied Materials, Inc. Wafer cassette load station
US6186331B1 (en) * 1998-04-06 2001-02-13 Dainichi Shoji K.K. Container

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100823430B1 (ko) * 2001-05-30 2008-04-17 가부시키가이샤 다이헨 카세트 반송 방법 및 카세트 반송 시스템
KR100850815B1 (ko) * 2003-07-03 2008-08-06 도쿄엘렉트론가부시키가이샤 처리 장치

Also Published As

Publication number Publication date
TW411546B (en) 2000-11-11
KR100472341B1 (ko) 2005-07-05
US6390754B2 (en) 2002-05-21
US20010014267A1 (en) 2001-08-16

Similar Documents

Publication Publication Date Title
KR100472341B1 (ko) 기판처리장치,그운전방법및기판검출시스템
TWI425590B (zh) 基板處理裝置及其基板搬送方法
KR20090024615A (ko) 기판 위치 어긋남 검출 시스템
KR20210157334A (ko) 수납 모듈, 기판 처리 시스템 및 소모 부재의 반송 방법
US7379174B2 (en) Wafer detecting device
JPH113927A (ja) 基板処理装置及びカセット内の基板検出装置
US20100280653A1 (en) Substrate processing apparatus and semiconductor device manufacturing method
KR100374099B1 (ko) 반도체 제조장치에서의 피이재체의 검출장치
TW202232624A (zh) 處理系統及搬運方法
JP2003218018A (ja) 処理装置
KR100934761B1 (ko) 평판표시소자 제조장치
JP4067720B2 (ja) 基板移送装置
JP2641922B2 (ja) 処理装置
US20220285180A1 (en) Enclosure system structure
CN115910877A (zh) 装载端口
KR20070070435A (ko) 기판 이송 장치
JPH05152423A (ja) 表面処理装置
KR100920463B1 (ko) 반도체 제조 설비
JP3754149B2 (ja) 縦型熱処理装置
KR20230094447A (ko) 엔드이펙터 감지센서를 갖는 풉 및 이를 이용한 데이터 통합 관리시스템
JP3638735B2 (ja) 基板処理装置
KR100829921B1 (ko) 기판을 이송하는 방법 및 시스템
KR20030069234A (ko) 웨이퍼 수납 용기 및 이에 수용된 웨이퍼를 감지하기 위한장치
KR20100054554A (ko) 웨이퍼의 신속한 매핑이 가능한 기판이송시스템
KR20060116931A (ko) 웨이퍼 이송 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee