KR19980014187A - 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법 - Google Patents

다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법 Download PDF

Info

Publication number
KR19980014187A
KR19980014187A KR1019960033044A KR19960033044A KR19980014187A KR 19980014187 A KR19980014187 A KR 19980014187A KR 1019960033044 A KR1019960033044 A KR 1019960033044A KR 19960033044 A KR19960033044 A KR 19960033044A KR 19980014187 A KR19980014187 A KR 19980014187A
Authority
KR
South Korea
Prior art keywords
reaction
reaction chambers
vacuum pump
chamber
pump
Prior art date
Application number
KR1019960033044A
Other languages
English (en)
Other versions
KR0183912B1 (ko
Inventor
박준식
김영선
김중기
Original Assignee
김광호
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 김광호, 삼성전자 주식회사 filed Critical 김광호
Priority to KR1019960033044A priority Critical patent/KR0183912B1/ko
Priority to JP20924897A priority patent/JP4073984B2/ja
Priority to US08/906,879 priority patent/US5873942A/en
Publication of KR19980014187A publication Critical patent/KR19980014187A/ko
Priority to US09/152,674 priority patent/US6037272A/en
Application granted granted Critical
Publication of KR0183912B1 publication Critical patent/KR0183912B1/ko

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D13/00Pumping installations or systems
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/909Controlled atmosphere
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)

Abstract

본 발명에 의한 다중 반응챔버에 연결된 펌핑 설비 및 이를 사용하는 방법에서는 게이트 밸브 및 저 진공펌프의 수를 반응챔버의 수 보다 작게 구성한다. 또한, 필요에 따라서는 게이트밸브와 다수의 반응챔버사이에 압력조절수단의 하나인 자동 압력조절기를 더 구비할 수 있고 펌핑 능력향상을 위해서는 펌프와 반응챔버를 직접 연결하여 펌핑설비를 구성할 수도 있다.
이에 따라 종래에 비해 저 진공펌프의 용량증가에 따른 드라이 펌프의 대당 설비 및 운전비의 상승은 있을 수 있다. 하지만, 전체적으로 사용하는 펌프의 수가 크게 감소되므로 전체적인 펌핑설비의 설치 및 운전비와 관리유지비가 감소하고 펌프의 설치면적이 대폭줄어들기 때문에 클린룸 설치와 운영비등이 절감되는 효과가 있다.

Description

다중 반응챔버에 연결된 펌핑 설비 및 이를 사용하는 방법
본 발명은 다중 반응챔버에 연결된 펌핑 설비 및 이를 사용하는 방법에 관한 것으로서 특히, 종래에 비해 훨씬 작은 수의 게이트 밸브와 저 진공펌프를 구비하여 다중 챔버의 펌프 시스템을 효과적으로 사용할 수 있는 다중 반응챔버에 연결된 펌핑 설비와 이를 사용하여 공정을 진행하는 방법에 관한 것이다.
저압기상 화학증착(Low Pressure Chemical Vapor Deposition:이하, LPCVD라 한다)설비에서는 통상 단일 챔버를 사용하기 보다는 다수의 반응챔버를 한 개의 웨이퍼 반송챔버와 연결하여 사용하고 있다. 이와 같은 다수의 반응챔버에서는 박막 형성공정이 진행된다. 박막을 형성하기 위해서는 그 소오스가스를 공급해야한다. 박막 형성공정이 끝나면, 상기 반응챔버내의 잔류가스들은 다음공정을 위해 완전히 제거되어야 한다. 이와 같은 일련의 가스의 공급과 그 처리과정은 상기 다수의 반응챔버와 연결되어 있는 펌핑 설비가 없이는 불가능하다. 상기 반응챔버의 내부는 박막 형성공정이 진행되기 전부터 박막 형성공정이 완료된 후 일정 시점까지 정해진 이상적인 압력으로 유지되어야 한다. 이는 상기 박막 형성효율을 양호하게 유지하기 위해서는 불가피하다. 상기 반응챔버내의 압력은 공정의 전이나 후 그리고 공정이 진행되는 동안에 따라 서로 다르다. 즉, 공정이 진행되기 전 초기 단계에서는 상기 반응챔버의 내부는 고 진공상태(압력이 10-4Torr 이하)로 유지되어야 한다. 이는 박막 형성공정이 진행되기 전에 반응챔버내의 불순물을 완전히 제거해야 하기 때문에 필요한 것이다. 공정이 시작되기 전에 반응챔버내부는 상압상태로 있다. 따라서 상기 반응챔버내부의 압력을 10-4Torr 이하의 매우 낮은 압력으로 유지하기 위해서는 고 진공 펌프가 필요하다. 따라서 상기 반응챔버에는 고 진공 펌프가 연결되어 있다. 그리고 고 진공 펌프를 보조하기 위해 저 진공펌프가 열결되어 있다. 상기 저 진공펌프의 사용시점은 상기 고 진공펌프에 로드(load)가 많이 걸리는 상기 반응챔버 내부를 초기 진공상태로 만들 때와 박막 형성공정이 진행됨에 따라 상기 반응챔버내에는 박막 형성에 필요한 소오스가스가 유입될 때이다. 이러한 소오스가스의 유입에 의해 반응챔버내의 압력은 초기의 고 진공상태보다는 다소 높아진다. 따라서 상기 반응챔버내부를 박막 형성공정에 알맞는 적정 압력으로 유지하기 위해서는 펌핑작용이 높아져야 한다. 따라서 상기 고 진공펌프로서는 부담이 심하므로 상기 저 진공펌프를 함께 사용한다. 그러나 상기 박막 형성공정이 종료된 후 반응챔버에 가스가 공급되지도 않으며, 단순히 일정압력으로 유지하는 상태에서는 고 진공펌프만을 사용한다.
이와 같은 다수의 반응챔버 및 상기 반응챔버에 연결되어 있는 펌핑 설비와 그 사용방법에 관한 종래 기술의 일예를 첨부된 도면을 참조하여 상세하게 설명한다.
도 1은 종래 기술에 의한 다중 반응챔버에 연결된 펌핑 설비의 반응챔버와 펌프의 구성관계를 나타낸 구성도이고, 도 2는 도 1의 구성을 갖는 다중 반응챔버에 연결된 펌핑 설비를 이용한 시간에 따른 공정의 진행을 나타낸 도면이다.
도 1을 참조하면, 종래 기술에 의한 다중 반응챔버에 연결된 펌핑 설비는 크게 다수의 챔버(8, 10, 12, 14, 16 및 18)와 함께 상기 다수의 펌프(10a, 10b, 10e, 12a, 12b, 12e, 14a, 14b 및 14e)로 구성되어 있다. 구체적으로 상기 다수의 챔버(8, 10, 12, 14, 16 및 18)는 다시 다음과 같이 세분할 수 있다. 즉, 공정을 시작하기 전에 웨이퍼를 대기시키는 두개의 로드 챔버(16, 18)와 공정이 진행되는 세개의 반응챔버(10, 12 및 14)와 상기 로드 챔버(16, 18)과 반응챔버(10, 12 및 14)사이에서 웨이퍼를 운송하는 영역이 되는 운송 챔버(transfer chamber:8)로 세분할 수 있다. 편의 상 상기 반응챔버(10, 12 및 14)를 제1 반응챔버(10)와 제2 반응챔버(12) 및 제3 반응챔버(14)로 나눈다.
결국 상기 다수의 챔버는 한개의 운송챔버(8)에 2개의 로드 챔버(16, 18)와 3개의 반응챔버(10, 12 및 14)가 결합되어 있다. 상기 다수의 펌프(10a, 10b, 10e, 12a, 12b, 12e, 14a, 14b 및 14e)는 상기 제1 내지 제3 반응챔버(10, 12 및 14)에 연결되어 있는데, 다시 고 진공펌프(10a, 10b, 12a, 12b, 14a, 및 14b)와 저 진공 펌프(10e, 12e, 및 14e)로 세분할 수 있다. 상기 고 진공펌프는 터보(turbo)펌프나 이온펌프로 구성된다.
상기 고 진공펌프(10a, 10b, 12a, 12b, 14a, 및 14b) 및 저 진공 펌프(10e, 12e, 및 14e)와 상기 제1 내지 제3 반응챔버(10, 12 및 14)간의 연결관계를 살펴보면, 구체적으로, 상기 제1 반응챔버(10)에는 두개의 고 진공펌프(10a, 10b)가 직렬로 연결되어 있다. 그리고 상기 고 진공펌프(10a, 10b)에는 한개의 저 진공 펌프(10e)가 연결되어 있다. 상기 제1 반응챔버(10)에 연결된 두개의 고 진공펌프(10a, 10b)를 각각 제1 고 진공펌프(10a)와 제2 고 진공펌프(10b)라 한다. 계속해서 상기 제2 반응챔버(12) 및 제3 반응챔버(14)에도 각각 두 개씩의 고 진공펌프(12a, 12b와 14a, 14b)와 한개씩의 저 진공펌프(12e와 14e)가 연결되어 있다. 상기 제2 반응챔버(12)에 연결된 두개의 고 진공펌프(12a, 12b)는 각각 제3 및 제4 고 진공펌프라 한다. 그리고 상기 제3 반응챔버(14)에 연결된 두개의 고 진공 펌프(14a, 14b)는 각각 제5 및 제6 고 진공펌프라 한다. 상기 제1 내지 제3 반응챔버(10, 12 및 14)에 각각 하나씩 연결되어 있는 저 진공 펌프는 각각 제1 내지 제3 저 진공펌프라 한다. 도 1을 참조하면, 상기 제1 내지 제3 반응챔버(10, 12 및 14)의 제1 내지 제6 고 진공펌프(10a, 10b, 12a, 12b, 14a, 및 14b)와 제1 내지 제3 저 진공 펌프(10e, 12e, 및 14e)사이에는 반응챔버당 두개씩의 게이트 밸브(10c, 10d, 12c, 12d, 14c, 14d)가 연결되어 있다. 상기 제1 고 진공펌프(10a, 10b)와 제1 저 진공펌프(10e)사이에 있는 두개의 게이트 밸브(10c, 10d)는 제1 및 제2 게이트밸브라 한다. 그리고 상기 제2 고 진공펌프(12a, 12b)와 제2 저 진공펌프(12e)사이에 있는 두개의 게이트 밸브(12c, 12d)는 제3 및 제4 게이트밸브라 한다. 또한, 상기 제3 고 진공펌프(14a, 14b)와 제3 저 진공펌프(14e)사이에 있는 두개의 게이트 밸브(14c, 14d)는 제5 및 제6 게이트밸브라 한다. 상기 제1 내지 제6 게이트 밸브들(10c, 10d, 12c, 12d, 14c, 14d)은 각각 상기 제1 내지 제6 고 진공펌프(10a, 10b, 12a, 12b, 14a, 및 14b)에 직렬로 연결되어 있다. 그리고 상기 제1 내지 제3 저 진공펌프(10e, 12e, 및 14e)와는 병렬로 연결되어 있다.
이와 같이 종래 기술에 의한 다중 반응챔버에 연결된 펌핑 설비는 반응챔버 하나당 두개의 고 진공펌프와 두개의 게이트 밸브 및 한개의 저 진공펌프를 구비하고 있다.
상술한 바와 같은 구성을 갖는 종래 기술에 의한 다중 반응챔버에 연결된 펌핑 설비들을 이용하는 공정진행을 도 2를 참조하여 상세하게 설명한다.
도 2는 시간에 따른 상기 종래 기술에 의한 다중 반응챔버에 연결된 펌핑 설비들의 동작상황을 나타낸 도면이다. 즉, 상기 제1 내지 제3 반응챔버(10, 12 및 14)에 반응가스의 공급유무와 그에 따른 상기 제1 내지 제6 게이트 밸브(10c, 10d, 12c, 12d, 14c, 14d)의 개폐와 상기 제1 내지 제6 고 진공펌프(10a, 10b, 12a, 12b, 14a, 및 14b)와 제1 내지 제3 저 진공 펌프(10e, 12e, 및 14e)의 동작이 공정이 진행됨에 따라 변화하는 것을 도시한 것이다. 구체적으로, 도 2에서 참조번호 20, 22 및 24는 각각 상기 제1 내지 제3 반응챔버(10, 12, 14)에서의 시간에 따른 상태변화를 나타내는 타임 도표(time chart)이다. 즉, 상기 제1 내지 제3 반응챔버(10, 12, 14)에는 로드 챔버(16, 18)로부터 운송챔버(8)를 거쳐서 웨이퍼가 로딩된다. 편의 상 상기 제1 반응 챔버(도 1의 10)의 타임도표(20)을 참조하면, 제1 반응챔버(도 1의 10)에 로딩된 웨이퍼에 바로 박막이 형성되지 않고 일정시간 상기 웨이퍼를 가열하여 일정온도로 높인다. 상기 박막을 형성하는데는 적합한 증착온도가 있다. 따라서 상기 로딩된 웨이퍼를 일정온도로 가열시킨 후 안정화시킨다. 이와 같이 웨이퍼를 가열한 후 일정시간 동안 안정화시키는 시간이 바로 제1 시간구간(20a)이다. 상기 제1 시간구간(20a)이 지나면 상기 제1 반응챔버(10)에 로딩된 웨이퍼는 박막을 형성하기에 알맞은 온도로 가열되어 있으므로 반응가스를 상기 제1 반응챔버(10)에 유입시켜서 웨이퍼 상에 박막을 형성한다. 이 시간구간을 제2 시간구간(20b)이라 한다. 상기 제2 시간구간(20b)에서 상기 제1 반응챔버(10)에는 반응가스가 계속 유입되므로 내부압력이 높아진다. 즉 공정초기 웨이퍼를 로딩하는 단계(제1 시간구간)에서는 상기 제1 반응챔버(10)의 내부 압력이 10-7Torr정도였으나 상기 제2 시간구간(20b)에서는 10-3Torr정도로 높아진다. 따라서 상기 제2 시간구간(20b)에서는 상기 제1 반응챔버(10)와 연결된 제1 및 제2 고 진공펌프(10a, 10b)와 상기 제1 저 진공펌프(10e)가 함께 펌핑작용을 할 필요가 있다. 계속해서 상기 제2 시간구간(20b)이 지나면서 제3 시간구간(20c)이 계속된다. 즉, 상기 웨이퍼에 형성된 박막을 안정화시킬 목적으로 어닐(anneal)공정이 진행된다. 뿐만 아니라 상기 어닐 공정에서 불순물이 추가적으로 웨이퍼상에 증착되는 것을 방지함은 물론 다음 공정을 위해 상기 제1 반응챔버(10)내부를 청소하는 의미에서 상기 제3 시간구간(20c)에서는 상기 제1 반응챔버(10) 내부에 남아 있는 반응 후 잔류가스들을 제거한다. 상기 제3 시간구간(20c)에서는 초기상태로 상기 제1 반응챔버(10)의 압력을 유지하므로 상기 제1 및 제2 고 진공펌프(10a, 10b)만 작동시켜도 충분하다. 상기 제1 반응챔버(10)가 상기 제1 내지 제3 시간구간(20a 내지 20c)을 지나는 동안 상기 제1 반응챔버(10)와 연결된 상기 제1 및 제2 게이트 밸브(10c, 10d)는 상기 제1 반응챔버(10)의 상태와 무관하게 항시 오픈된 상태를 유지한다.
계속해서 도 2를 참조하면, 상기 제1 반응챔버(10)가 제1 및 제2 시간구간(20a, 20b)에 있는 동안 상기 제2 반응챔버(12)는 어닐공정과 웨이퍼 가열 및 안정화 시간구간(22a:이하, 제4 시간구간이라 한다)에 있게 된다. 그리고 상기 제1 반응챔버(10)가 제3 시간구간(20a)에 있는 동안에 상기 제2 반응챔버(12)에는 반응가스가 공급되어 웨이퍼 상에 박막을 형성하는 제5 시간구간(22b)과 박막 형성 후의 웨이퍼의 어닐 공정이 수행되는 제6 시간구간(22c)이 진행된다.
상기 제3 반응챔버(도 1의 14)의 경우에는 상기 제1 반응챔버(도 1의 10)가 상기 제1 및 제2 시간구간(20a, 20b)을 지나고 제3 시간 구간(20c)을 일정시간 지나는 동안 어닐과 박막 형성을 위하여 웨이퍼를 일정온도로 가열한 후 안정화하는 시간구간(24a:이하, 제7 시간구간이라 한다)이 계속되고 상기 제1 반응챔버(도 1의 10)의 제3 시간구간(20c)에 해당하는 시간에 상기 제3 반응챔버(도 1의 14)에서는 반응가스가 공급되어 박막이 형성된다(24b:제8 시간구간). 이어서 후속 어닐공정이 계속된다(24c:제9 시간구간). 상기 제2 및 제3 반응챔버(도 1의 12, 14)의 경우에도 상기 제5 및 제8 시간구간(22b, 24b)에 들어가기 전에는 초기 압력형성 때문에, 들어간 후에는 유입되는 반응가스에 의한 반응챔버내의 압력이 10-3Torr정도로 높아지므로 상기 제2 및 제3 저 진공펌프(도 1의 12e, 14e)의 동작이 요구된다.
상술한 바와 같이 종래 기술에 의한 다중 반응챔버에 연결된 펌핑 설비는 상기 제1 내지 제6 게이트 밸브(10c, 10d, 12c, 12d, 14c, 14d)는 상기 제1 내지 제3 반응챔버(10, 12, 14)에 반응가스가 공급되는 것과 무관하게 항시 오픈된 상태를 유지한다. 그리고 상기 제1 내지 제6 고 진공펌프(10a, 10b, 12a, 12b, 14a, 및 14b)와 제1 내지 제3 저 진공 펌프(10e, 12e, 및 14e)는 항시 온(on)상태를 유지한다. 이와 같이 다수의 반응챔버의 각각에 직렬로 연결된 2개의 고 진공펌프와 한개의 저 진공펌프를 구비하고 있다. 또한 상기 고 진공 펌프와 저 진공 펌프사이에는 2개의 게이트밸브를 구비하고 있다. 이와 같이 반응챔버 각각을 담담하는 별도의 저 진공펌프가 실제사용되는 시간은 상기 각 반응챔버을 초기 진공상태로 형성할 때와 반응챔버에 반응가스가 공급될 때이다. 따라서 상기 반응가스가 공급될 때를 제외한 다른 시간에서는 거의 불 필요하다. 따라서 종래 기술에 의한 다중 반응챔버에 연결된 펌핑 설비와 같은 펌프의 구성은 시스템구성에 따른 비용과 이들 설비가 차지하는 면적이 증가된다. 또한, 이들 설비를 운영하고 유지관리하는데 따른 비용이 증가하여 유지관리가 어렵다.
따라서 본 발명의 목적은 이러한 종래 기술이 갖는 문제점을 해결하기 위한 것으로, 다수의 반응챔버와 연결된 펌프의 사용효율을 극대화와 함께 설비비와 함께 설비 운용비를 절감할 수 있는 다중 반응챔버에 연결된 펌핑 설비를 제공함에 있다.
본 발명의 다른 목적은 상기 다중 반응챔버에 연결된 펌핑 설비를 사용하는 방법을 제공함에 있다.
도 1은 종래 기술에 의한 다중 반응챔버에 연결된 펌핑 설비의 반응챔버와 펌프의 구성관계를 나타낸 구성도이다.
도 2는 도 1의 구성을 갖는 다중 반응챔버에 연결된 펌핑 설비를 이용한 시간에 따른 공정의 진행을 나타낸 도면이다.
도 3은 본 발명에 의한 다중 반응챔버에 연결된 펌핑 설비의 반응챔버와 펌프의 구성관계를 나타낸 구성도이다.
도 4는 도 3의 구성을 갖는 다중 반응챔버에 연결된 펌핑 설비를 이용하여 공정을 진행하는 제1 실시예를 나타낸 도면이다.
도 5는 도 3의 구성을 갖는 다중 반응챔버에 연결된 펌핑 설비를 이용하여 공정을 진행하는 제2 실시예를 나타낸 도면이다.
도 6은 도 3의 구성을 갖는 다중 반응챔버에 연결된 펌핑 설비를 이용하여 공정을 진행하는 제3 실시예를 나타낸 도면이다.
〈도면의 주요부분에 대한 부호 설명〉
40, 42, 44:제1 , 제2 및 제3 반응챔버.
40a, 40b: 제1 및 제2 고 진공펌프.
42a, 42b:제3 및 제4 고 진공펌프.
44a, 44b:제5 및 제6 고 진공펌프.
40c:제1 게이트 밸브. 42c:제2 게이트 밸브.
44c:제3 게이트 밸브. 46:저 진공펌프.
상기 목적을 달성하기 위하여, 본 발명에 의한 다중 반응챔버에 연결된 펌프 설비는 웨이퍼 운송 챔버; 상기 운송챔버에 연결된 로딩 챔버와 다수의 반응챔버; 상기 다수의 반응챔버 각각에 연결된 다수의 고 진공 펌프; 상기 다수의 반응챔버중 적어도 2개의 반응챔버에 공동으로 연결된 저 진공용 펌프; 및 상기 고 진공펌프와 저 진공펌프사이에는 게이트 밸브를 구비한다.
상기 반응챔버의 수는 3개이고 상기 저 진공펌프의 수는 한개이다. 상기 고 진공펌프의 수는 상기 다수의 반응챔버 각각에 2개씩 설치되어 있으므로 상기 반응챔버의 수 보다 많다. 상기 고 진공 펌프는 상기 다수의 반응챔버의 수와 동수로 구성할 수도 있다.
상기 게이트 밸브는 상기 반응챔버당 한개 설치되어 있다.
상기 다수의 반응챔버에서 적어도 2개 이상의 반응챔버가 동시에 열릴 경우 상기 반응 챔버의 압력을 안정화시키기 위해 상기 반응챔버와 게이트 밸브사이에는 자동압력조절기를 더 구비할 수 있다.
상기 다수의 반응챔버와 상기 저 진공 펌프가 직접 연결하여 구성할 수도 있다.
상기 다른 목적을 달성하기 위하여, 본 발명의 제1 실시예에 의한 다중 반응챔버에 연결된 펌핑 설비를 사용하는 방법은 웨이퍼 운송 챔버; 상기 운송챔버에 연결된 로딩 챔버와 다수의 반응챔버; 상기 다수의 반응챔버 각각에 연결된 다수의 고 진공 펌프; 상기 다수의 반응챔버중 적어도 2개의 반응챔버에 공동으로 연결된 저 진공용 펌프; 및 상기 고 진공펌프와 저 진공펌프사이에는 게이트 밸브를 구비하는 다중 반응챔버에 연결된 펌프 설비에 있어서, 상기 다수의 반응챔버에 반응가스를 플로우 시키는 시간을 서로 다르게 하여 상기 게이트 밸브가 서로 다른 시간에 열리게 하고 상기 게이트 밸브가 열리는 시간에 맞춰서 상기 저 진공펌프를 구동시키는 것을 특징으로 한다.
상기 게이트 밸브는 제거할 수도 있는데, 이때, 상기 반응챔버와 저 진공 펌프는 직접 연결하여 사용할 수도 있다.
상기 게이트 밸브는 상기 반응가스공급을 종료한 후 해당 반응챔버의 압력이 충분히 낮아진 상태에서 닫아서 상기 반응챔버의 압력을 저압으로 유지한다. 상기 고 진공펌프로는 상기 반응챔버내의 압력을 10-4Torr∼10-10Torr 로 유지하는 펌프를 사용하는데 바람직하게는 터보(turbo)펌프를 사용한다. 그리고 상기 저 진공펌프로는 상기 반응챔버내의 압력을 10-1Torr∼10-4Torr로 유지하는 펌프를 사용하는데, 바람직하게는 드라이(dry) 펌프를 사용한다.
상기 다른 목적을 달성하기 위하여, 본 발명의 제2 실시예에 의한 다중 반응챔버에 연결된 펌핑 설비를 사용하는 방법은 웨이퍼 운송 챔버; 상기 운송챔버에 연결된 로딩 챔버와 다수의 반응챔버; 상기 다수의 반응챔버 각각에 연결된 다수의 고 진공 펌프; 상기 다수의 반응챔버중 적어도 2개의 반응챔버에 공동으로 연결된 저 진공용 펌프; 및 상기 고 진공펌프와 저 진공펌프사이에는 게이트 밸브를 구비하는 다중 반응챔버에 연결된 펌핑 설비에 있어서, 상기 다수의 반응챔버에 반응가스를 플로우 시키는 과정에서 2개의 반응챔버에 일부 동일한 시간에 반응가스를 공급하는 경우에 해당 반응챔버와 연결된 게이트 밸브는 가스의 플로우에 맞춰 열고 상기 반응챔버중 반응가스의 플로우가 먼저 시작되는 반응챔버와 연결된 게이트 밸브가 열리는 시간에 맞춰 상기 저 진공펌프를 구동시키기 시작해서 상기 반응챔버중 반응가스의 플로우가 늦은 반응챔버와 연결된 게이트 밸브가 닫일 때 까지 용량이 증가된 상기 저 진공펌프를 구동시키는 것을 특징으로 한다.
상기 다수의 반응챔버에서 적어도 2개 이상의 반응챔버가 열릴 때 상기 반응챔버의 압력을 안정화하기 위해 상기 반응챔버와 상기 게이트 밸브사이에 자동압력조절기를 설치하여 사용한다.
상기 게이트 밸브를 제거하고 상기 반응챔버와 저 진공 펌프를 직접 연결하여 사용하는 것을 특징으로 한다. 상기 게이트 밸브는 상기 반응가스공급을 종료한 후 해당 반응챔버의 압력이 충분히 낮아진 상태에서 닫아서 상기 반응챔버의 압력을 저압으로 유지한다. 상기 고 진공 및 저 진공펌프를 사용하는 조건은 제1 실시예와 동일하다.
상기 다른 목적을 달성하기 위하여, 본 발명의 제3 실시예에 의한 다중 반응챔버에 연결된 펌프 설비를 이용하는 방법은 웨이퍼 운송 챔버; 상기 운송챔버에 연결된 로딩 챔버와 다수의 반응챔버; 상기 다수의 반응챔버 각각에 연결된 다수의 고 진공 펌프; 상기 다수의 반응챔버중 적어도 2개의 반응챔버에 공동으로 연결된 저 진공용 펌프; 및 상기 고 진공펌프와 저 진공펌프사이에는 게이트 밸브를 구비하는 다중 반응챔버에 연결된 펌프 설비에 있어서, 상기 다수의 반응챔버에 반응가스를 플로우 시키는 과정에서 상기 다수의 반응챔버에 동시에 반응가스를 공급하는 경우에 상기 다수의 반응챔버 각각에 연결되어 있는 게이트 밸브를 계속 오픈시킨 상태로 상기 저 진공 펌프를 대 용량화하여 상시 배기하는 것을 특징으로 한다.
상기 반응챔버의 압력을 안정화하기 위해 상기 반응챔버와 상기 게이트 밸브사이에 자동압력조절기를 설치하여 사용한다. 그리고 배기 효율을 높이기 위해 상기 게이트 밸브를 제거하여 상기 펌프를 반응챔버에 직접연결하여 사용할 수도 있다.
상기 고 진공 및 저 진공펌프를 사용하는 조건은 상기 제1 및 제2 실시예와 동일하다.
본 발명은 종래 기술에 의한 다중 반응챔버에 연결된 펌핑 설비에 비해 상기 다수의 반응챔버의 각각에 연결되어 있는 설비들, 예컨대, 펌프나 게이트밸브들의 수가 훨씬 작다. 저 진공펌프의 경우에는 한대당 적어도 3개 이상의 다수의 반응챔버를 지원할 수 있다. 이러한 구성에 따라 본 발명에 의한 다중 반응챔버에 연결된 펌프 설비를 이용하면, 필요에 따라 펌프설비의 용량증가에 기인한 설비의 한대당 설치 및 운용비의 상승은 있을 수 있다. 하지만, 설치되는 설비들의 숫자가 대폭 줄어들기 때문에 시스템구성이 간단해지므로 그에 투입되는 비용을 줄일 수 있다. 그리고 설비들의 설치면적이 감소되므로 클린 룸(clean room)설치 비용과 함께 설비들을 운용하는데 드는 비용을 줄일 수 있다. 또한, 설비들의 관리가 쉬워진다. 운용방법적인 측면에서 볼 때, 종래 기술이 다수의 반응챔버를 개별적으로 관리하므로 반응챔버가 많아짐에 따라 그 관리가 번거로운 것에 비해 본 발명의 경우는 다수의 반응챔버를 통합하여 총체적으로 관리하므로 반응챔버가 증가하더라도 별 문제가 없다.
이하, 본 발명에 의한 다중 반응챔버에 연결된 펌핑 설비 및 이를 사용하는 방법을 첨부된 도면을 참조하여 상세하게 설명한다.
도 3은 본 발명에 의한 다중 반응챔버에 연결된 펌핑 설비의 반응챔버와 펌프의 구성관계를 나타낸 구성도이고, 도 4는 도 3의 구성을 갖는 다중 반응챔버에 연결된 펌핑 설비를 이용하여 공정을 진행하는 제1 실시예를 나타낸 도면이다.
도 5는 도 3의 구성을 갖는 다중 반응챔버에 연결된 펌핑 설비를 이용하여 공정을 진행하는 제2 실시예를 나타낸 도면이고, 도 6은 도 3의 구성을 갖는 다중 반응챔버에 연결된 펌핑 설비를 이용하여 공정을 진행하는 제3 실시예를 나타낸 도면이다.
먼저, 도 3을 참조하여 본 발명에 의한 다중 반응챔버에 연결된 펌프 설비의 구성을 설명한다. 구체적으로, 본 발명에 의한 다중 반응챔버에 연결된 펌프 설비는 운송챔버(38)를 중심으로 3개의 반응챔버(40, 42 및 44)와 2개의 로드 챔버(48, 50)이 열결되어 있다. 이하, 상기 3개의 반응챔버(40, 42 및 44)중 참조번호 40은 제1 반응챔버라 하고 참조번호 42는 제2 반응챔버라 하며, 참조번호 44는 제3 반응챔버라 한다. 상기 제1 내지 제3 반응챔버(40, 42 및 44)에는 각각 2개 씩의 고 진공 펌프(40a와 40b, 42a와 42b 및 44a, 44b)가 직렬로 연결되어 있다. 상기 고 진공 펌프(40a, 40b, 42a, 42b, 44a 및 44b)중 상기 제1 반응챔버(40)에 직렬로 연결되어 있는 2개의 고 진공펌프(40a, 40b)를 각각 제1 및 제2 고 진공펌프라 한다. 그리고 상기 제2 반응챔버(42)에 직렬로 연결되어 있는 2개의 고 진공펌프(42a, 42b)를 각각 제3 및 제4 고 진공펌프라 한다. 또한, 상기 제3 반응챔버(44)에 직렬로 연결되어 있는 2개의 고 진공펌프(44a, 44b)를 각각 제5 및 제6 고 진공펌프라 한다. 상기 제1 내지 제6 고 진공펌프((40a, 40b, 42a, 42b, 44a 및 44b)는 이온 펌프 또는 터보 펌프이다. 상기 제1 및 제2 고 진공펌프(40a, 40b)에는 한 개의 게이트 밸브(40c:이하, 제1 게이트 밸브라 한다)가 열결되어 있다. 마찬가지로 상기 제3 및 제4 고 진공펌프(42a, 42b)와 제5 및 제6 고 진공펌프(44a, 44b)에도 각각 한개씩의 게이트 밸브(42c, 44c)가 연결되어 있다. 이중 상기 제3 및 제4 고 진공펌프(42a, 42b)에 연결된 것(42c)을 제2 게이트 밸브라 한다. 그리고 상기 제5 및 제6 고 진공펌프(44a, 44b)에 연결된 것(44c)을 제3 게이트 밸브라 한다. 계속해서 상기 제1 내지 제3 게이트 밸브(40c, 42c 및 44c)에는 공동으로 한개의 저 진공 펌프(46)가 연결되어 있다. 상기 저 진공펌프(46)는 드라이 펌프(dry pump)이다.
이러한 구성을 갖는 본 발명에 의한 다중 반응챔버에 연결된 펌프 설비의 가장 큰 특징은 종래 기술에 비해 전체적으로 설비의 부피를 대폭 줄였다는 것이다. 즉, 종래 기술에 의한 다중 반응챔버에 연결된 펌프 설비에서는 각 반응챔버에 독립된 2개의 고 진공 펌프와 2개의 게이트 밸브 및 한개의 저 진공 펌프가 연결되어 있었다(도 1 참조).
반면, 본 발명에 의한 다중 반응챔버에 연결된 펌프 설비는 도 3을 참조하여 상술한 바와 같이 다수의 반응챔버의 각각에 고 진공 펌프는 종래와 마찬가지로 2개씩 연결되어 있으나, 게이트 밸브는 한개가 줄어든 한개 씩 연결되어 있다. 전체적으로 볼 때 3개의 반응챔버의 경우 3개의 게이트 밸브가 줄어든 것이다. 또한 저 진공펌프의 경우에는 종래 기술에서는 각 반응챔버당 한개씩 연결되어 있었으나 본 발명의 경우에는 3개의 반응챔버를 동시에 지원하는 한 개의 저 진공 펌프가 연결되어 있다. 따라서 종래에 비해 본 발명에 의한 다중 반응챔버에 연결된 펌프 설비에서의 저 진공펌프는 한 꺼번에 다수의 반응챔버를 지원해야 하므로 용량이 커야한다. 또한, 용량의 증가와 함께 저 진공펌프의 대당 단가 및 운전비는 높아질 수 있으나 이것은 공정에서의 필요한 펌프의 수가 줄어들고 전체적으로 설비가 점유하는 면적이 감소되어 클린 룸설치와 설비들의 유지관리비 및 운영비등이 절감되어서 충분히 상충하고도 남음이 있다. 그리고 한 개의 저 진공펌프로서 다수의 반응챔버를 지원하므로 각 반응챔버에 개별적으로 저 진공펌프가 연결되어 있을 때 보다 조작이 덜 번거롭다. 뿐만 아니라 설비의 전체적인 규모와 구성요소의 감소는 설비를 취급하는데 있어서 보다 간편하게 한다.
상기 구성을 설치비용의 절감과 펌핑능력을 높이기 위해 상기 제1 내지 제3 게이트 밸브(40c, 42c 및 44c)를 제거하고 상기 고 진공 또는 저 진공펌프와 상기 제1 내지 제3 반응챔버(40, 42, 44)가 직접 연결된 상태로 구성할 수도 있다. 또한, 도 3에 도시하지는 않았지만 상기 제1 내지 제3 반응챔버(40, 42 및 44)와 상기 제1 내지 제3 게이트밸브(40c, 42c 및 44c)사이에 공정진행중에 상기 제1 내지 제3 반응챔버(40, 42, 44) 내부의 압력 안정화를 위해 자동으로 압력을 조절할 수 있는 수단의 하나로서 자동 압력조절기를 더 구비할 수 있다.
계속해서 상술한 바와 같은 구성요소를 포함하는 다중 반응챔버에 연결된 펌프 설비의 일예는 LPCVD장치에서 찾아 볼 수 있다. 이하, 이와 같은 구성요소를 갖는 본 발명에 의한 다중 반응챔버에 연결된 펌프 설비가 웨이퍼 상에 박막을 형성하는 공정에서 어떻게 사용되는 지를 첨부된 도면을 참조하여 상세하게 설명한다.
우선 제1 실시예로서 상기 제1 내지 제3 반응챔버(40, 42 및 44)중 2개 이상에서 동시에 공정이 진행되지 않는 경우이다. 즉, 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)에서 순차적으로 박막 형성공정이 진행되는 경우이다. 제1 실시예를 도 4를 참조하여 설명한다. 도 4는 도 3의 구성을 갖는 본 발명에 의한 다중 반응챔버에 연결된 펌핑 설비를 이용하여 공정을 진행하는 제1 실시예를 나타낸 도면인데, 구체적으로는 상기 제1 내지 제3 반응챔버(40, 42 및 44) 각각의 공정진행상황에 따라 상기 제1 내지 제3 게이트 밸브들(40c, 42c 및 44c)의 개폐동작을 나타낸다. 도 4에서 가로축은 공전진행시간 축이고 세로 축은 상기 제1 내지 제3 반응챔버(40, 42 및 44)와 제1 내지 제3 게이트 밸브(40c, 42c 및 44c)가 나열된 축이다. 따라서 도 4에서 참조번호 52는 공정이 진행되는 동안에 상기 제1 반응챔버(40)의 상태변화를 나타내는 시간도표(time chart)이다. 마찬가지로 참조번호 54와 56은 각각 제2 및 제3 반응챔버의 상태변화를 나타내는 시간도표이다. 또한, 참조번호 58, 60 및 62는 각각 상기 제1 내지 제3 게이트밸브들(40c, 42c 및 44c)의 시간도표이다. 상기 제1 실시예에서는 상기 제1 내지 제3 반응챔버(40, 42 및 44)에서 박막이 형성되는 시간이 각각 다르므로 상기 제1 반응챔버(40)의 시간도표(52)를 참조하여 상기 제1 내지 제3 반응챔버(40, 42 및 44)의 상태변화에 따른 상기 제1 내지 제3 게이트 밸브들(40c, 42c 및 44c)이 시간에 따라 어떻게 동작하는가를 설명한다. 아울러 도면에 도시하지는 않았지만, 상기 저 진공 펌프(46)의 동작도 함께 설명한다.
본 발명에 의한 다중 반응챔버와 그에 연결된 펌프 설비를 이용한 박막 형성공정이 진행되면서 상기 로드 챔버(도 3의 48, 50)에 있는 웨이퍼는 상기 운송챔버(도 3의 38)를 거쳐서 상기 제1 반응챔버(40)에 로딩된다. 상기 웨이퍼에 박막을 형성하기 위해서는 먼저 박막 형성에 알맞는 환경이 되도록 상기 웨이퍼를 일정온도로 가열한 후 표면을 안정화시키는 것이 필요하다. 이러한 과정은 도 4의 상기 제1 반응 챔버(40)의 시간도표(52:이하, 제1 시간 도표라 한다)에서 시간구간 T1(이하, 제1 시간구간이라 한다)에서 일어난다. 상기 제1 시간구간(T1)에서는 상기 제1 반응챔버(40)의 내부 압력이 상압에서 고 진공인 10-7Torr정도로 낮출 필요가 있다. 이와 같은 낮은 압력은 후속 박막이 형성되는 공정에서 박막에 불순물이 포함되는 것을 방지하여 균질의 박막을 형성하기 위함이다. 계속해서 상기 제1 시간 구간(T1)이 끝난 후 상기 제1 반응챔버(40)에는 반응가스가 공급되어 웨이퍼 상에 박막이 형성된다. 상기 박막 형성공정은 다양하지만 반구형 그레인(Hemi Spherical Grain:이하, HSG라 한다)막 형성공정을 예로 든다. 따라서 상기 제1 반응챔버(도 3의 40)에 유입되는 반응가스로는 실리콘(Si)을 이용하여 상기 HSG 핵을 형성하기 위해 이 실레인(Si2H6)을 사용한다. 이러한 과정은 상기 제1 시간 도표(52)에서 시간구간 T2(이하, 제2 시간구간이라 한다)에서 일어난다. 상기 제2 시간구간(T2) 동안에 상기 제1 반응챔버(40)내부에는 반응가스가 공급되므로 압력이 초기 상태보다 높은 10-7Torr정도가 된다. 이어서 상기 박막 형성공정이 끝난 후 상기 제1 반응챔버(도 3의 40)내부에는 잔류가스가 남아 있는데 이를 제거하여 다음 공정을 준비함과 아울러 상기 웨이퍼 상에 형성된 박막을 안정화시키기 위한 어닐(anneal) 공정이 진행된다. 상기 어닐공정에 의해 상기 HSG핵이 성장되어 HSG막이 형성된다. 이 과정은 상기 제1 시간도표에서 시간구간 T3(이하, 제3 시간구간이라 한다)동안에 일어난다. 상기 제1 시간구간(T3)에서 어닐공정이 진행되는 동안 상기 제1 반응챔버(도 3의 40)의 내부압력은 다시 초기 상태로 돌아가서 10-7Torr정도의 압력으로 된다. 상기 제1 반응챔버(도 3의 40)에서는 상기 제1 내지 제3 시간구간(T1 내지 T3)이 반복해서 진행된다. 상기 제1 반응챔버(도 3의 40)에서 박막 형성공정이 진행되는 상기 제1 내지 제3 시간구간(T1 내지 T3)동안에 상기 제1 게이트 밸브(40C)의 동작을 상기 제1 게이트 밸브(40C)의 시간도표(이하, 제4 시간도표라 한다)를 참조하여 상세하게 설명한다. 상기 제1 내지 제3 게이트 밸브(40c, 42c 및 44c)는 종래 기술에서와는 달리 박막 형성공정이 진행되지 않을 때는 닫혀있는 상태이다. 상기 제1 내지 제3 게이트 밸브(40c, 42c 및 44c)는 상기 제1 내지 제3 반응챔버(40, 42 및 44)에 반응가스가 공급되는 시점에서 연다. 정확하게는 상기 제1 반응챔버(40)과 연결되어 있는 상기 제1 게이트 밸브(40C)를 예로 들면, 상기 제1 반응챔버(40)에 반응가스가 공급되는 시점 즉, 제2 시간구간(T2)이 시작되는 시점보다 약간 이른 시간, 예컨대 10초정도 전에 연다. 따라서 상기 제1 게이트 밸브(40C)는 상기 제1 시간구간(T1)이 끝날 무렵에 열린다. 상기 제1 게이트 밸브(40C)는 상기 제1 반응챔버(도 3의 40)에 반응가스가 공급되는 시간인 상기 제2 시간구간(T2) 동안 계속 열린 상태로 있게 된다. 상기 제2 시간구간(T2)은 본 발명의 경우 대략 60초이다. 상기 제1 게이트 밸브(40C)는 상기 제2 시간구간(T2)이 지나서 상기 제3 시간구간(T3)이 시작된 후 일정시간동안 계속 열어둔다. 상기 제3 시간구간(T3)에서는 박막 형성이 된 후 상기 제3 반응챔버(도 3의 40)에 남아 있는 가스를 제거와 웨이퍼에 형성된 박막의 안정화가 이루어지는데, 상기 잔류 가스를 제거하는 동안 상기 제1 게이트 밸브(40C)는 계속 열어 둔다. 본 발명의 제1 실시예에서 이 시간은 60초 정도가 된다. 이 정도의 시간에서 상기 제1 반응챔버(도 3의 40)의 내부 압력은 초기 상태와 같은 10-7Torr정도가 된다. 따라서 상기 제1 게이트 밸브(40c)를 열어 두는 시간을 상기 제1 내지 제3 시간 구간(T1 내지 T3)에 걸쳐서 130초 정도이다.
계속해서 상기 제1 내지 제3 시간구간(T1 내지 T3)동안에 상기 제1 반응챔버(도 3의 40)와 연결되어 있는 제1 내지 제2 고 진공 펌프(40a, 40b)와 저 진공펌프(도 3의 46)의 동작을 상세하게 설명한다. 상기 제1 및 제3 시간구간(T1, T3)에서는 상기 제1 반응챔버(도 3의 40)내부 압력은 10-7Torr정도로 유지되어야 한다. 이를 위해 상기 제1 및 제2 고 진공펌프(도 3의 40a, 40b)는 계속 펌핑된다. 이와 같이 상기 제1 및 제2 고 진공 펌프(도 3의 40a, 40b)의 펌핑작용에 의해 상기 제2 시간 구간(T2)이 시작되기 전에 상기 제1 반응챔버(도 3의 40)와 상기 제1 게이트 밸브(40C)사이에는 미량의 가스가 존재하게 되고 상기 제1 게이트 밸브(40C)사이의 압력은 다소 증가하게된다. 그러나 상술한 바와 같이 상기 제2 시간구간(T2)이 시작되기 전에 상기 제1 게이트 밸브(40C)가 열린다. 상기 제1 게이트 밸브(40C)가 열리는 것과 동시에 상기 저 진공 펌프(46)가 동작되므로 계속되는 박막 형성공정에는 아무런 영향을 주지 않는다. 상기 제2 시간구간(T2)에서는 상기 제1 반응챔버(도 3의 40)에 반응가스가 유입되어 반응챔버 내부압력이 10-1Torr∼10-4Torr정도로 높아진다. 상기 제2 시간구간(T2)에서는 반응가스가 계속 반응챔버로 유입되므로 상기 제1 및 제2 고 진공펌프(도 3의 40a, 40b)는 과중한 로드가 걸린다. 따라서 상기 제2 시간구간(T2)에서는 상기 제1 및 제2 고 진공펌프(도 3의 40a, 40b)와 함께 상기 저 진공 펌프(도 3의 46)를 사용한다.
상기 제1 실시예의 기술에서는 상기 제1 반응챔버(도 3의 40)를 대표로해서 상기 제1 게이트 밸브(도 3의 40c)와 제1 및 제2 고 진공펌프(도 3의 40a, 40b) 및 저 진공 펌프(도 3의 46)의 사용을 설명하였다. 하지만 상기 제1 실시예에서는 상기 제2 및 제3 반응챔버의 시간도표(54, 56:이하, 각각 제2 및 제3 시간도표라 한다)를 보면 알 수 있듯이 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)에 반응가스가 공급되는 제2 시간구간(T2)이 다르다. 따라서 상기 제1 반응챔버(도 3의 40)의 상태변화와 관련된 상기 제1 및 제2 고 진공펌프(도 3의 40a, 40b)와 상기 제1 게이트 밸브(도 3의 40C) 및 저 진공 펌프(도 3의 46)의 동작은 상기 제2 및 제3 반응챔버(도 3의 42, 44)의 경우에도 동일하게 적용할 수 있다. 박막 형성공정이 진행됨에 따른 상기 제2 및 제3 게이트 밸브(도 3의 42C, 44C)의 동작은 도 4의 제5 및 제6 시간도표(60, 62)를 참조하면 쉽게알 수 있다.
상기 제1 실시예에서 상기 제1 반응챔버(도 3의 40)의 내부압력이 10-7Torr정도로 매우 낮을 경우에는 상기 제1 반응챔버(도 3의 40)에 별도의 제1 및 제2 고 진공 펌프(도 3의 40a, 40b)를 상기 저 진공 펌프(도 3의 46)와 직렬로 연결하여 사용한다.
그러나 반응챔버가 10-2Torr∼10-4Torr정도의 저 진공용일 경우에는 상기 제1 및 제2 고 진공 펌프(도 3의 40a, 40b)가 필요치 않고 상기 저 진공 펌프(도 3의 46)와 같은 1대 또는 소수의 펌프만 있으면 상기 반응챔버에서 정상적으로 박막 형성공정을 수행할 수 있다.
일반적으로, 박막형성공정 설비에서 고 진공 펌프에는 저 진공 펌프가 연결되어 사용된다. 하지만, 고 진공 펌프를 사용하지 않고 저 진공 펌프만을 사용하여 박막 형성공정을 지원할 수 있는 펌핑시스템을 구성할 경우에도 본 발명에 의한 다중 반응챔버에 연결된 펌핑 설비와 같은 펌프의 구성할 수 있다.
상기 본 발명의 제1 실시예에 의한 다중 반응챔버에 연결된 펌핑 설비의 사용방법에 있어서 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)에 유입되는 반응가스의 량은 30SCCM정도로 소량이므로 상기 제2 시간구간에서 심한 로드를 받지 않는다. 따라서 제1 실시예의 경우에는 상기 저 진공 펌프(도 3의 46)는 펌프용량의 증가없이 상기 반응챔버들(도 3의 40, 42 및 44)의 수 보다 작은 수의 진공펌프를 사용하여 박막 형성공정을 진행할 수 있다. 그러나, 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)중 적어도 2개 이상의 반응챔버(40과 42, 40과 44, 42와 44 또는 40과 42와 44)에 동시에 반응가스가 공급되는 경우에는 상기 펌핑 시스템의 구성과 그 사용방법이 달라져야 한다. 이와 관련해서는 본 발명의 제2 및 제3 실시예에 의한 다중 반응챔버에 연결된 펌핑 설비 사용방법에서 상세하게 기술한다.
먼저, 본 발명의 제2 실시예에 의한 다중 반응챔버에 연결된 펌핑 설비 사용방법을 첨부된 도면을 참조하여 상세하게 설명한다.
도 5는 도 3의 구성을 갖는 다중 반응챔버에 연결된 펌핑 설비를 이용하여 공정을 진행하는 제2 실시예를 나타낸 도면이다. 제2 실시예에 의한 다중 반응챔버에 연결된 펌핑 설비 사용방법은 상술한 바와 같이 적어도 2개 이상의 반응챔버에 동시에 반응가스가 공급될 경우에 반응챔버에 연결된 펌핑 설비들을 사용하는 방법이다. 구체적으로 도 5를 참조하면, 도 5의 가로 축은 공정시간을 나타내는 시간 축이고 세로 축은 상기 제1 내지 제3 반응챔버(40, 42, 44)와 제1 내지 제3 게이트 밸브(도 3의 40C, 42C 및 44C)를 나타낸다. 도 5의 도시된 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)와 상기 제1 내지 제3 게이트 밸브(도 3의 40C, 42C 및 44C)의 시간 도표(time chart:64, 66, 68, 70, 72 및 74 이하, 각각 제7 내지 제12 시간 도표라 한다)를 참조하면 공정진행과정은 상기 제1 실시예와 동일하다. 즉, 박막 형성이 시작되기 전 웨이퍼를 반응챔버에 로딩하여 웨이퍼를 가열하여 표면을 안정화시키는 단계와 반응챔버에 반응가스를 공급하여 웨이퍼 상에 박막을 형성하는 단계 및 박막이 형성된 웨이퍼를 어닐하는 단계로 공정이 진행된다는 점은 상기 제1 실시예와 동일하다. 그러나 제2 실시예에서는 상기 각 단계가 진행되는 시간이 다르다. 이하, 제2 실시예의 설명에서 상기 웨이퍼를 가열하여 표면을 안정화시키는 단계에 소요되는 시간을 제4 시간구간(T4)이라 하고, 상기 반응챔버에 반응가스를 공급하여 웨이퍼 상에 박막을 형성하는 단계에 소요되는 시간을 제5 시간 구간(T5)이라 하며, 상기 박막이 형성된 웨이퍼를 어닐하는 단계에 소요되는 시간을 제6 시간구간(T6)이라 한다.
제2 실시예에서는 상기 제4 내지 제6 시간구간(T4 내지 T6)은 상기 제1 실시예에서의 제1 내지 제3 시간구간(도 4의 T1 내지 T3)과 시간의 길이가 다르다. 즉, 상기 제2 실시예에서는 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)중 어느 한 반응챔버에 반응가스가 공급되는 시간인 상기 제5 시간구간(T5)이 상기 제1 실시예의 대응하는 시간구간인 제2 시간구간(도 4의 T2)보다 길다. 반대로 제2 실시예에서는 상기 제4 및 제6 시간구간(T4, T6)이 상기 제1 실시예의 제1 및 제3 시간구간(도 4의 T1, T3)보다 짧다. 이러한 결과에 의해 제2 실시예에서는 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)에 반응가스가 공급되는 시간인 상기 제5 시간구간이 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)마다 다르지 않고 일부구간에서 겹친다. 즉, 동시에 적어도 2개 이상의 반응챔버(예컨대, 도 3에서 40과 42, 40과 44, 42와 44 또는 40과 42와 44 전부)에 반응가스가 공급되어 박막 형성공정이 진행된다. 이러한 상황을 상기 제1 및 제2 반응챔버(도 3의 40, 42)를 예로 들어 상세하게 설명한다. 도 5에서 상기 제1 반응챔버(도 3의 40)의 제7 시간도표를 참조하면, 상기 제4 시간구간(T4)에서는 상기 제1 반응챔버(도 3의 40)에 웨이퍼가 로딩하여 박막 형성에 적합한 온도가 될 때 까지 상기 웨이퍼를 가열하여 안정화시킨다. 이때, 상기 제2 반응챔버(도 3의 42)에서는 제6 시간구간(T6)인 어닐 공정이 진행된다. 계속해서 상기 제1 반응챔버(도 3의 40)에서는 상기 제5 시간구간(T5)이 진행되는데, 이때는 박막형성용 가스 예컨대, 상기 HSG핵 형성용 이 실레인 가스를 일정량 공급하여 웨이퍼 상에 HSG핵을 증착시킨다. 상기 제1 반응챔버(도 3의 40)에서의 상기 제5 시간구간은 제1 실시예의 제2 시간구간(도 4의 T2)보다 훨씬 길다. 상기 제1 반응챔버(도 3의 40)에서 상기 제5 시간구간(T5)이 진행되는 동안 이 때, 상기 제2 반응챔버(도 3의 42)에서는 어닐공정인 제6 시간구간(T6)이 끝나고 새로이 로딩된 웨이퍼를 박막 형성공정에 적합한 온도가 될 때 까지 가열하여 안정화시키는 제4 시간구간(T4)이 이어진다. 상기 제7 및 제8 시간도표(64, 66)에서 알수 있듯이 상기 제2 반응챔버(도 3의 42)에서 상기 제5 시간구간(T5)이 시작되었을 때 상기 제1 반응챔버(도 3의 40)는 아직 제5 시간구간(T5)에 있다. 그리고 상기 제1 반응챔버(도 3의 40)에서 제5 시간구간(T5)이 끝나고 제6 시간구간(T6)이 얼마정도 계속될 때 까지 상기 제2 반응챔버(도 3의 42)에서는 제5 시간구간(T5)이 계속된다. 따라서 상기 제2 반응챔버(도 3의 42)에서 제5 시각구간(T5) 이 시작되는 시점에서 부터 상기 제1 반응챔버(도 3의 40)에서의 제5 시간구간(T5)이 끝나는 종점까지 상기 제1 및 제2 반응챔버(도 3의 40, 42)에는 동시에 반응가스가 공급된다. 상기 반응가스는 상기 제1 및 제2 반응챔버(도 3의 40, 42)별로 달라도 무방하다. 즉, 상기 제1 및 제2 반응챔버(도 3의 40, 42)에서 형성되는 박막이 달라도 무방하다. 상기 제1 및 제2 반응챔버(도 3의 40, 42)에 동시에 반응가스가 공급되는 시간동안에 상기 제1 및 제2 반응챔버(도 3의 40, 42)에 연결된 제1 및 제2 게이트 밸브(도 3의 40C, 42C)의 작동은 제11 및 제12 시간도표(70, 72)를 참조하면 알수 있는데, 구체적으로는 제1 실시예에서와 같이 상기 제1 게이트 밸브(도 3의 40C)는 상기 제1 반응챔버(도 3의 40)에서 제5 시간구간(T5)이 진행되기 몇초 전에 예컨대, 10초전에 열려서 제5 시간구간(T5)이 끝난 후 상기 제1 반응챔버(도 3의 40)내부의 압력이 초기 압력정도가 될 때 까지 열어 놓는다. 마찬가지로 상기 제2 반응챔버(도 3의 42)에 연결된 상기 제2 게이트 밸브(도 3의 42C)는 상기 제2 반응챔버(도 3의 42)가 제5 시간구간(T5)에 들어가기전 몇초 전에 열려서 제5 시간구간(T5)이 끝난 후 상기 제2 반응챔버(도 3의 42)의 내부압력이 초기 압력이 될 때 까지 열어 놓는다. 상기 제1 및 제2 반응챔버(도 3의 40, 42)의 제5 시간구간(T5)는 동일하므로 상기 제1 및 제2 게이트 밸브(도 3의 40C, 42C)를 열어 놓는 시간도 동일하다. 본 발명의 제2 실시예에서는 상기 제1 및 제2 게이트 밸브(도 3의 40C, 42C)를 대략 200초정도 열어놓는다. 상기 제1 및 제2 반응챔버(도 3의 40, 42)에 연결된 제1 내지 제4 고 진공펌프(도 3의 40a, 40b, 42a 및 42b)인 터보 또는 이온 펌프는 웨이퍼가 반응챔버에 로딩될 때 부터 계속 펌핑동작을 하고 있으므로 상기 제1 및 제2 게이트 밸브 (도 3의 40C, 42C)를 연다는 것은 상기 저 진공 펌프(도 3의 46)가 동작된다는 것을 의미한다. 상기 저 진공펌프(도 3의 46)는 제1 실시예에서와는 달리 상기 제1 및 제2 게이트 밸브(도 3의 40c, 42c)를 통해서 상기 제1 및 제2 반응챔버(도 3의 40, 42)를 일정시간 동안 동시에 펌핑해야한다. 소수의 저 진공펌프를 사용하여 저 진공펌프보다 많은 다수의 반응챔버에 동일한 진공상태를 형성하기 위해서는 상기 저 진공펌프의 성능을 향상시키는 것이 필요하다. 따라서 상기 제1 실시예에서와는 달리 상기 제2 실시예에서의 저 진공펌프(도 3의 46) 예컨대, 드라이 펌프(dry pump)의 용량을 증가시키는 것이 필요하다. 상기 저 진공펌프(도 3의 46)가 갖추어야할 용량은 상기 반응챔버에 공급되는 반응가스의 양에 따라 결정되는데, 상기 제1 및 제2 반응챔버(도 3의 40, 42)에 반응가스가 동시에 공급되는 경우에는 2배 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)에 동시에 공급되는 경우에는 3배의 용량증가가 필요하다. 따라서 상기 제1 및 제2 반응챔버(도 3의 40, 42)에 반응가스가 동시에 공급되는 경우 상기 저 진공펌프(도 3의 46)의 펌핑용량은 한개의 반응가스에 반응가스가 공급될 때 보다 2배 증가되어야 한다. 예를 들면 상기 제1 실시예에서 상기 한개의 반응챔버 즉, 제1 반응챔버에 30SCCM의 반응가스를 공급하고 상기 저 진공펌프(도 3의 46)의 용량이 분당 10,000리터(l)의 펌핑용량을 갖는 펌프일 때, 두개의 반응챔버 예컨대, 제1 및 제2 반응챔버(도 3의 40, 42)에 반응가스를 동시에 공급하고 동일한 압력을 유지하고자할 경우 상기 분당 10,000리터의 펌핑용량은 갖는 상기 저 진공펌프는 분당 15,000리터의 펌핑용량이 요구된다. 상기 저 진공펌프(도 3의 46)의 용량은 상기 제1 및 제2 반응챔버(도 3의 40, 42)에 공급되는 반응가스의 종류와 반응온도, 상기 제1 및 제2 반응챔버(도 3의 40, 42)의 체적과 상기 제1 내지 제4 고 진공펌프(도 3의 40a, 40b, 42a 및 42b)의 용량, 배기관등을 고려하여 결정한다. 상기 제2 실시예의 설명을 위해 상기 제1 및 제2 반응챔버(도 3의 40, 42)를 선택하였지만, 동일한 설명이 상기 제1 및 제3 반응챔버(도 3의 40, 44)나 제2 및 제3 반응챔버(도 3의 42, 44) 또는 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)에 동시에 반응가스가 공급되는 경우에도 적용할 수 있다.
상기 제2 실시예에서는 적어도 두개의 반응챔버가 동일한 시간에 열려있지만 열리는 시점과 닫히는 종점이 서로 다르므로 예컨대, 상기 제1 반응챔버(도 3의 40)가 열려있는 상태에서 상기 제2 반응챔버 (도 3의 42)가 열리거나 닫이면 상기 제1 반응챔버(도 3의 40)의 압력은 순간적으로 변동될 수 있다. 이와 같은 압력변화에 대한 충격을 완화하기 위하여 상기 제1 내지 제3 게이트 밸브(도 3의 40c, 42c 및 44c)와 해당 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)사이에 자동압력조절기를 설치하여 사용할 수 있다.
다음에는 본 발명의 제3 실시예에 의한 다중 반응챔버에 연결된 펌핑 설비의 사용방법을 첨부된 도면을 참조하여 상세하게 설명한다.
도 6는 도 3의 다중 반응챔버에 연결된 펌핑 설비를 사용하는 방법의 제3 실시예를 나타낸 도면인데, 가로 축은 박막 형성공정이 진행되는 시간을 나타낸다. 그리고 세로 축은 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)와 제1 내지 제3 게이트 밸브(도 3의 40c, 42c 및 44c)를 나타낸다. 본 발명의 제3 실시예에 의한 다중 반응챔버에 연결된 펌프 설비를 사용하는 방법은 상기 제1 및 제2 실시예와는 달리 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44) 전부에 반응가스를 공급하는 시간이 동일한 경우이다. 도 6를 참조하여 제3 실시예에서의 박막 형성공정진행을 설명한다. 도 6에서 가로 축은 상기 제3 실시예에 의한 다중 반응챔버에 연결된 펌핑 설비를 이용하는 박막 형성공정의 진행시간을 나타내는 시간 축이다. 그리고 세로 축은 제1 및 제2 실시예와 동일하다. 즉, 상기 박막 형성공정이 진행되는 시간 동안 상태나 동작기능 변화를 알고자 하는 부분을 나타내는데, 이러한 부분들로는 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)와 제1 내지 제3 게이트 밸브(40C, 42C 및 44C)등이 해당된다. 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)와 제1 내지 제3 게이트 밸브(40C, 42C 및 44C)가 박막 형성의 전 공정이 진행되는 시간동안에 그 상태나 동작이 어떻게 변하는 가는 도 6에 도시된 시간 도표들(76, 78, 80, 82, 84 및 86)을 참조하면 쉽게알 수 있다. 즉, 상기 도 6에 도시된 시간도표들(76, 78, 80, 82, 84 및 86:이하, 제13 내지 제18 시간도표이라 한다)은 각각 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)와 상기 제1 내지 제3 게이트 밸브(도 3의 40C, 42C 및 44C)의 시간도표가 된다. 상기 제3 실시예의 제13 내지 제15의 시간도표(76, 78 및 80)를 참조하면, 상기 제1 실시예의 상기 제1 내지 제3 시간도표(도 4의 52, 54 및 56)와 상기 제2 실시예의 상기 제4 내지 제6 시간도표(도 5의 64, 66 및 68)와 비교해 볼 때, 상기 제13 내지 제15 시간도표(76, 78 및 80)는 매우 특이하며, 상기 제1 및 제2 실시예와 다른 것을 알 수 있다. 즉, 상기 제13 내지 제15 시간도표(76, 78 및 80)의 시간구간(T7, T8)은 상기 제1 및 제2 실시예의 시간구간(도 4의 T1 내지 T3 및 도 5의 T4 내지 T6)이 세개인 반면, 두 개의 시간구간(T7, T8)만이 존재한다. 상기 제3 실시예의 상기 제13 내지 제15 시간도표(76, 78 및 80)에서 시간구간 T7(이하, 제7 시간구간이라 한다)은 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)에 반응가스가 공급되는 시간을 나타낸다. 그리고 상기 제13 내지 제15 시간도표(76, 78 및 80)에서 시간구간 T8(이하, 제8 시간구간이라 한다)은 웨이퍼 상에 박막이 형성된 후 반응가스의 공급을 차단한 상태에서 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)에 남아 있는 반응에 참여하지 않은 또는 반응결과 생성된 잔류 가스들을 반응챔버 밖으로 배기하는 시간이다. 상기 제13 내지 제15 시간도표(76, 78 및 80)를 참조하면 알 수 있듯이 상기 제7 시간구간(T7)은 상기 제8 시간구간(T8)에 비해 매우 길다. 이와 같이 상기 제3 실시예에서의 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)에서의 동작은 반응가스를 공급하는 동작과 상기 반응가스를 공급하는 동작사이의 짧은 상기 반응 챔버내의 잔류가스를 배출하는 동작으로 구분되어 진행된다. 제3 실시예에서는 상술한 바와 같이 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)에 거의 대부분의 공정 진행되는 시간동안 반응가스가 공급되므로 상기 제1 내지 제3 게이트 밸브(도 3의 40C, 42C 및 44C)는 항시 열어 두어야 한다. 따라서 상기 제1 내지 제3 게이트 밸브(도 3의 40C, 42C 및 44C)의 시간도표인 상기 제16 내제 제18 시간도표(82, 84 및 86)는 박막 형성공정진행내내 변형없이 일정함을 알 수 있다. 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)의 상태 및 상기 제1 내지 제3 게이트 밸브(도 3의 40C, 42C 및 44C)의 상태가 이러하므로, 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)에 연결된 상기 제1 내지 제6 고 진공펌프(도 3의 40a, 40b, 42a, 42b, 44a 및 44b) 및 상기 저 진공 펌프(도 3의 46)를 운용하는 방법도 제1 및 제2 실시예의 경우와는 다르게 해야한다. 상기 제1 내지 제6 고 진공펌프(도 3의 40a, 40b, 42a, 42b, 44a 및 44b)는 상기 제1 및 제2 실시예에서 처럼 상기 박막 형성공정이 진행되는 동안 계속 동작시킨다. 상기 제1 내지 제6 고 진공펌프(도 3의 40a, 40b, 42a, 42b, 44a 및 44b)는 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)이 내부 압력을 10-4Torr∼10-10Torr 이하의 낮은 압력으로 유지하는데 사용한다. 이러한 목적의 상기 제1 내지 제6 고 진공펌프(도 3의 40a, 40b, 42a, 42b, 44a 및 44b)로는 터보 펌프 또는 이온 펌프를 사용한다. 상기 저 진공펌프(도 3의 46)는 상기 제1 내지 제3 반응챔버 (도 3의 40, 42 및 44)에 잔류가스 배출시간과 박막 안정화를 위한 짧은 시간을 제외하고는 계속 반응가스가 공급되기 때문에 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)의 공정진행압력을 일정 범위내로 유지하기 위해 계속 펌핑시킨다. 그런데 본 발명에서는 상기 저 진공 펌프(도 3의 46)의 수가 한대(한대 이상이더라도 상기 공정에 참여하는 반응챔버의 수 보다 작은 수)이므로 펌핑 용량은 증가되어야 한다. 따라서 상기 저 진공 펌프(도 3의 46)를 설치할 때는 상기 제1 내지 제3 반응챔버(도 4의 40, 42 및 44)에 유입되는 반응가스를 동시에 배출할 수 있을 정도의 펌핑용량을 갖는 것을 설치하여 사용한다. 상기 저 진공펌프(도 3의 46)는 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)의 내부압력을 10-4이상으로 유지하는데 사용한다. 이러한 목적의 상기 저 진공펌프(도 3의 46)로는 드라이 펌프(dry pump)를 사용한다.
상기 제3 실시예에서 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)에는 계속적으로 반응가스가 공급되어 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)가 동시에 열려있지만, 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44) 각각이 열리는 시간이 닫히는 시간보다 훨씬 길다. 따라서 상기 한 반응챔버(예컨대 제1 반응챔버)가 닫혔다가 열리는 경우에는 타 반응챔버(예컨대, 제2 및 제3 반응챔버)의 공정진행 압력에 영향을 줄 수 있다. 이에 따라 이와 같은 압력변화에 의한 영향을 최소화하기 위해 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)와 상기 제1 내지 제3 게이트 밸브(도 3의 40C, 42C 및 44C) 사이에 압력을 자동으로 조절할 수 있는 수단의 하나로서 자동압력 조절기를 설치하여 사용할 수 있다. 또한, 설비 설치비용의 절감과 함께 펌프설비의 펌핑능력 향상을 위해 상기 제1 내지 제3 게이트 밸브(도 3의 40C, 42C 및 44C)를 제거한 후 상기 제1 내지 제3 반응챔버(도 3의 40, 42 및 44)와 상기 저 진공펌프(도 3의 46)를 직접 연결하여 사용할 수도 있다. 상기 제3 실시예에서 상기 박막 형성공정은 이 실레인(Si2H6)을 반응가스로 사용한 HSG막 형성을 위한 HSG핵 형성공정이나 반도체장치의 제조공정에서 형성되는 모든 박막 형성공정이 될 수 있다.
이상, 상술한 바와 같이 본 발명에 의한 다중 반응챔버에 연결된 펌핑 설비 및 이를 사용하는 방법에서는 게이트 밸브 및 저 진공펌프의 수를 반응챔버의 수 보다 작게 구성한다. 그리고 필요에 따라서는 게이트밸브와 다수의 반응챔버사이에 압력조절수단의 하나인 자동 압력조절기를 더 구비할 수 있다. 또한, 펌핑 능력향상을 위해서는 펌프와 반응챔버와 직접 연결하여 펌핑설비를 구성할 수도 있다. 이러한 구성을 갖는 다중 반응챔버에 연결된 펌핑 설비를 사용하는 방법에는 많은 실시예가 있을 수 있으나 세가지의 예가 있다. 즉, 제1 실시예에 의한 다중 반응챔버에 연결된 펌핑설비를 사용하는 방법은 다수의 반응챔버에 공급되는 반응가스가 서로 다른 시간에 다른 반응챔버에 공급되므로 종래의 구성에서 상기 저 진공펌프의 용량 증가없이 펌프의 수를 줄여서 사용하는 방법이다.
제2 실시예에 의한 다중 반응챔버에 연결된 펌핑 설비를 사용하는 방법은 시간은 짧지만, 적어도 두개의 반응챔버에 동시에 반응가스가 공급된다. 이때는 게이트밸브의 개폐주기를 선택적으로 제어하여 소수의 저 진공 드라이 펌프로써 다수의 반응챔버의 공정을 진행할 수 있으나 상기 저 진공펌프의 수를 줄여서 사용하기 위해서는 대당 펌프의 용량을 증시시킬 필요가 있는 방법이다.
제3 실시예에 의한 다중 반응챔버에 연결된 펌핑 설비를 사용하는 방법은 다중 반응챔버에 반응가스가 공급되는 시간이 반응가스가 공급되지 않는 온도안정화시간 보다 훨씬 길고 동시에 모든 반응챔버가 열리는 경우이다. 따라서 모든 반응챔버에 계속 반응가스가 공급되는 경우인데, 이때는 소수의 대용량 저 진공펌프를 사용하여 상시 배기하는 방법이다.
이렇게 하면, 종래에 비해 저 진공펌프의 용량증가에 따른 드라이 펌프의 대당 설비 및 운전비의 상승은 있을 수 있다. 하지만, 전체적으로 사용하는 펌프의 수가 크게 감소되므로 전체적인 펌핑설비의 설치 및 운전비와 관리유지비가 감소하고 펌프의 설치면적이 대폭줄어들기 때문에 클린룸 설치와 운영비등이 절감되는 효과가 있다.
본 발명은 상기 실시예에 한정되지 않으며, 많은 변형이 본 발명의 기술적 사상내에서 당분야에서의 통상의 지식을 가진자에 의하여 실시가능함은 명백하다.

Claims (24)

  1. 웨이퍼 운송 챔버;
    상기 운송챔버에 연결된 로딩 챔버와 다수의 반응챔버;
    상기 다수의 반응챔버 각각에 연결된 다수의 고 진공 펌프;
    상기 다수의 반응챔버중 적어도 2개의 반응챔버에 공동으로 연결된 저 진공용 펌프; 및
    상기 고 진공펌프와 저 진공펌프사이에는 게이트 밸브를 구비하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌프 설비.
  2. 제1항에 있어서, 상기 저 진공펌프의 수는 한개 인 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비.
  3. 제1항에 있어서, 상기 고 진공펌프는 상기 다수의 반응챔버와 동수이거나 그 이상의 수로 구성된 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비.
  4. 제1항에 있어서, 상기 게이트 밸브는 상기 반응챔버당 한개가 연결되어 있는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비.
  5. 제1항에 있어서, 상기 다수의 반응챔버에서 적어도 2개 이상의 반응챔버가 동시에 열릴경우 상기 반응 챔버의 압력을 안정화시키기 위해 상기 반응챔버와 게이트 밸브사이에는 자동압력조절기가 설치되어 있는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비.
  6. 제1항에 있어서, 상기 게이트 밸브를 제거하여 상기 다수의 반응챔버와 상기 저 진공 펌프가 직접 연결한 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑설비.
  7. 제1항에 있어서, 상기 고 진공펌프는 상기 반응챔버내의 압력을 10-4Torr∼10-10Torr로 유지하는 펌프인데 바람직하게는 터보(turbo)펌프인 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑설비.
  8. 제1항에 있어서, 상기 저 진공펌프는 상기 반응챔버내의 압력을 10-1Torr∼10-4Torr로 유지하는 펌프인데 바람직하게는 드라이(dry) 펌프인 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑설비.
  9. 웨이퍼 운송 챔버;
    상기 운송챔버에 연결된 로딩 채버와 다수의 반응챔버;
    상기 다수의 반응챔버 각각에 연결된 다수의 고 진공 펌프;
    상기 다수의 반응챔버중 적어도 2개의 반응챔버에 공동으로 연결된 저 진공용 펌프; 및
    상기 고 진공펌프와 저 진공펌프사이에는 게이트 밸브를 구비하는 다중 반응챔버에 연결된 펌핑 설비에 있어서,
    상기 다수의 반응챔버에 반응가스를 플로우 시키는 시간을 서로 다르게 하여 상기 게이트 밸브가 서로 다른 시간에 열리게 하고 상기 게이트 밸브가 열리는 시간에 맞춰서 상기 저 진공펌프를 구동시키는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비를 이용하는 방법.
  10. 제9항에 있어서, 상기 게이트 밸브를 제거하고 상기 반응챔버와 저 진공 펌프를 직접 연결하여 사용하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비를 이용하는 방법.
  11. 제9항에 있어서, 상기 게이트 밸브는 상기 반응가스공급을 종료한 후 해당 반응챔버의 압력이 충분히 낮아진 상태에서 닫아서 상기 반응챔버의 압력을 저압으로 유지하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비를 이용하는 방법.
  12. 제9항에 있어서, 상기 고 진공펌프로는 상기 반응챔버내의 압력을 10-4Torr∼10-10Torr 로 유지하는 펌프를 사용하는데 바람직하게는 터보(turbo)펌프를 사용하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑설비.
  13. 제9항에 있어서, 상기 저 진공펌프로는 상기 반응챔버내의 압력을 10-1Torr∼10-4Torr 로 유지하는 펌프를 사용하는데, 바람직하게는 드라인(dry) 펌프를 사용하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑설비.
  14. 웨이퍼 운송 챔버;
    상기 운송챔버에 연결된 다수의 반응챔버;
    상기 다수의 반응챔버 각각에 연결된 다수의 고 진공 펌프;
    상기 다수의 반응챔버중 적어도 2개의 반응챔버에 공동으로 연결된 저 진공용 펌프; 및
    상기 고 진공펌프와 저 진공펌프사이에는 게이트 밸브를 구비하는 다중 반응챔버에 연결된 펌핑 설비에 있어서,
    상기 다수의 반응챔버에 반응가스를 플로우 시키는 과정에서 2개의 반응챔버에 일부 동일한 시간에 반응가스를 공급하는 경우에 해당 반응챔버와 연결된 게이트 밸브는 가스의 플로우에 맞춰 열고 상기 반응챔버중 반응가스의 플로우가 먼저 시작되는 반응챔버와 연결된 게이트 밸브가 열리는 시간에 맞춰 상기 저 진공펌프를 구동시키기 시작해서 상기 반응챔버중 반응가스의 플로우가 늦은 반응챔버와 연결된 게이트 밸브가 닫일 때 까지 상기 저 진공펌프를 구동시키는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비를 이용하는 방법.
  15. 제14항에 있어서, 상기 다수의 반응챔버에서 적어도 2개 이상의 반응챔버가 열릴 때 상기 반응챔버의 압력을 안정화하기 위해 상기 반응챔버와 상기 게이트 밸브사이에 자동압력조절기를 설치하여 사용하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비를 이용하는 방법.
  16. 제14항에 있어서, 상기 게이트 밸브를 제거하고 상기 반응챔버와 저 진공 펌프를 직접 연결하여 사용하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비를 이용하는 방법.
  17. 제14항에 있어서, 상기 게이트 밸브는 상기 반응가스공급을 종료한 후 해당 반응챔버의 압력이 충분히 낮아진 상태에서 닫아서 상기 반응챔버의 압력을 저압으로 유지하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비를 이용하는 방법.
  18. 제14항에 있어서, 상기 고 진공펌프로는 상기 반응챔버내의 압력을 10-4Torr∼10-10Torr 로 유지하는 펌프를 사용하는데 바람직하게는 터보(turbo)펌프를 사용하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑설비.
  19. 제14항에 있어서, 상기 저 진공펌프로는 상기 반응챔버내의 압력을 10-1Torr∼10-4Torr 로 유지하는 펌프를 사용하는데, 바람직하게는 드라인(dry) 펌프를 사용하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑설비.
  20. 웨이퍼 운송 챔버;
    상기 운송챔버에 연결된 로딩 챔버와 다수의 반응챔버;
    상기 다수의 반응챔버 각각에 연결된 다수의 고 진공 펌프;
    상기 다수의 반응챔버중 적어도 2개의 반응챔버에 공동으로 연결된 저 진공용 펌프; 및
    상기 고 진공펌프와 저 진공펌프사이에는 게이트 밸브를 구비하는 다중 반응챔버에 연결된 펌프 설비에 있어서,
    상기 다수의 반응챔버에 반응가스를 플로우 시키는 과정에서 상기 다수의 반응챔버에 동시에 반응가스를 공급하는 경우에 상기 다수의 반응챔버 각각에 연결되어 있는 게이트 밸브를 계속 오픈시킨 상태로 상기 저 진공 펌프를 대용량화하여 상시 배기하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비를 이용하는 방법.
  21. 제20항에 있어서, 상기 반응챔버의 압력을 안정화하기 위해 상기 반응챔버와 상기 게이트 밸브사이에 자동압력조절기를 설치하여 사용하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비를 이용하는 방법.
  22. 제20항에 있어서, 상기 게이트 밸브를 제거하여 상기 반응챔버와 저 진공 펌프를 직접 연결하여 사용하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑 설비를 이용하는 방법.
  23. 제20항에 있어서, 상기 고 진공펌프로는 상기 반응챔버내의 압력을 10-4Torr∼10-10Torr 로 유지하는 펌프를 사용하는데 바람직하게는 터보(turbo)펌프를 사용하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑설비.
  24. 제20항에 있어서, 상기 저 진공펌프로는 상기 반응챔버내의 압력을 10-1Torr∼10-4Torr 로 유지하는 펌프를 사용하는데, 바람직하게는 드라인(dry) 펌프를 사용하는 것을 특징으로 하는 다중 반응챔버에 연결된 펌핑설비.
KR1019960033044A 1996-08-08 1996-08-08 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법 KR0183912B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1019960033044A KR0183912B1 (ko) 1996-08-08 1996-08-08 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법
JP20924897A JP4073984B2 (ja) 1996-08-08 1997-08-04 半導体装置の製造設備及び半導体装置の製造方法
US08/906,879 US5873942A (en) 1996-08-08 1997-08-06 Apparatus and method for low pressure chemical vapor deposition using multiple chambers and vacuum pumps
US09/152,674 US6037272A (en) 1996-08-08 1998-09-14 Apparatus and method for low pressure chemical vapor deposition using multiple chambers and vacuum pumps

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019960033044A KR0183912B1 (ko) 1996-08-08 1996-08-08 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법

Publications (2)

Publication Number Publication Date
KR19980014187A true KR19980014187A (ko) 1998-05-15
KR0183912B1 KR0183912B1 (ko) 1999-05-01

Family

ID=19469113

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960033044A KR0183912B1 (ko) 1996-08-08 1996-08-08 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법

Country Status (3)

Country Link
US (2) US5873942A (ko)
JP (1) JP4073984B2 (ko)
KR (1) KR0183912B1 (ko)

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6933182B1 (en) * 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
KR0183912B1 (ko) * 1996-08-08 1999-05-01 김광호 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법
JP3967424B2 (ja) * 1997-04-30 2007-08-29 東京エレクトロン株式会社 真空処理装置及び圧力調整方法
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
KR100263901B1 (ko) * 1997-10-14 2000-08-16 윤종용 반도체 디바이스 제조 장치, hsg-다결정 실리콘막의 제조 방법 및 hsg-다결정 실리콘막을 전극으로 포함하는 커패시터의 제조 방법
US6090206A (en) * 1997-10-20 2000-07-18 Applied Materials, Inc. Throttle valve providing enhanced cleaning
JPH11204508A (ja) * 1998-01-09 1999-07-30 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
JPH11230036A (ja) 1998-02-18 1999-08-24 Ebara Corp 真空排気システム
JP2000058839A (ja) 1998-08-05 2000-02-25 Semiconductor Energy Lab Co Ltd 半導体素子からなる半導体回路を備えた半導体装置およびその作製方法
US6350321B1 (en) * 1998-12-08 2002-02-26 International Business Machines Corporation UHV horizontal hot wall cluster CVD/growth design
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
JP3676983B2 (ja) * 2000-03-29 2005-07-27 株式会社日立国際電気 半導体製造方法、基板処理方法、及び半導体製造装置
FR2808098B1 (fr) * 2000-04-20 2002-07-19 Cit Alcatel Procede et dispositif de conditionnement de l'atmosphere dans une chambre de procedes
FR2807951B1 (fr) * 2000-04-20 2003-05-16 Cit Alcatel Procede et systeme de pompage des chambres de transfert d'equipement de semi-conducteur
DE10033940A1 (de) * 2000-07-05 2002-01-24 Ihp Gmbh Verfahren und Vorrichtung zur Herstellung diffusionshemmender epitaktischer Halbleiterschichten
US6936134B2 (en) * 2000-11-14 2005-08-30 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6830994B2 (en) * 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US6602323B2 (en) * 2001-03-21 2003-08-05 Samsung Electronics Co., Ltd. Method and apparatus for reducing PFC emission during semiconductor manufacture
JP2004537855A (ja) * 2001-07-27 2004-12-16 イーハーペー ゲーエムベーハー−イノヴェイションズ フォー ハイ パフォーマンス マイクロエレクトロニクス/インスティチュート フュア イノヴァティーヴェ ミクロエレクトローニク 薄いエピタキシャル半導体層の製造方法および装置
US6893506B2 (en) * 2002-03-11 2005-05-17 Micron Technology, Inc. Atomic layer deposition apparatus and method
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US7300558B2 (en) * 2003-10-21 2007-11-27 Seagate Technology Llc Rapid cycle time gas burster
US7021903B2 (en) * 2003-12-31 2006-04-04 The Boc Group, Inc. Fore-line preconditioning for vacuum pumps
JP4633370B2 (ja) * 2004-02-17 2011-02-16 財団法人国際科学振興財団 真空装置
EP1582607B2 (de) * 2004-03-31 2016-07-06 Applied Materials GmbH & Co. KG Schleusenanordnung für eine Vakuumbehandlungsanlage und Verfahren zum Betreiben von dieser
US7780821B2 (en) * 2004-08-02 2010-08-24 Seagate Technology Llc Multi-chamber processing with simultaneous workpiece transport and gas delivery
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
KR100749739B1 (ko) * 2006-02-10 2007-08-16 삼성전자주식회사 반도체 제조장치
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102010048043A1 (de) * 2010-10-15 2012-04-19 Ev Group Gmbh Vorrichtung und Verfahren zur Prozessierung von Wafern
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5747876A (en) * 1980-09-03 1982-03-18 Toshiba Corp Plasma etching apparatus and method
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
JPS60170234A (ja) * 1984-02-15 1985-09-03 Semiconductor Energy Lab Co Ltd 気相反応装置および気相反応被膜作製方法
JPS60198394A (ja) * 1984-03-21 1985-10-07 Anelva Corp 真空処理装置の排気装置
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US5183511A (en) * 1986-07-23 1993-02-02 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus with a glow discharge system
JPH0763056B2 (ja) * 1986-08-06 1995-07-05 三菱電機株式会社 薄膜形成装置
US4725204A (en) * 1986-11-05 1988-02-16 Pennwalt Corporation Vacuum manifold pumping system
JPS63270388A (ja) * 1987-04-28 1988-11-08 Fujitsu Ltd 分子線結晶成長装置
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
DE3731444A1 (de) * 1987-09-18 1989-03-30 Leybold Ag Vorrichtung zum beschichten von substraten
JPH01268859A (ja) * 1988-04-20 1989-10-26 Casio Comput Co Ltd 透明導電膜の形成方法および形成装置
JPH01313388A (ja) * 1988-06-13 1989-12-18 Sumitomo Electric Ind Ltd 分子線結晶成長装置
FR2640697B1 (fr) * 1988-12-16 1993-01-08 Cit Alcatel Ensemble de pompage pour l'obtention de vides eleves
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JP2948842B2 (ja) * 1989-11-24 1999-09-13 日本真空技術株式会社 インライン型cvd装置
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
TW295677B (ko) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JPH08321448A (ja) * 1995-05-25 1996-12-03 Tadahiro Omi 真空排気装置、半導体製造装置及び真空処理方法
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
KR0183912B1 (ko) * 1996-08-08 1999-05-01 김광호 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법

Also Published As

Publication number Publication date
US5873942A (en) 1999-02-23
US6037272A (en) 2000-03-14
JP4073984B2 (ja) 2008-04-09
JPH1079413A (ja) 1998-03-24
KR0183912B1 (ko) 1999-05-01

Similar Documents

Publication Publication Date Title
KR19980014187A (ko) 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법
US6672864B2 (en) Method and apparatus for processing substrates in a system having high and low pressure areas
US6790291B2 (en) Method of and apparatus for processing substrate
KR100697280B1 (ko) 반도체 제조 설비의 압력 조절 방법
JP3486821B2 (ja) 処理装置及び処理装置内の被処理体の搬送方法
US7695231B2 (en) Vacuum pumping system, driving method thereof, apparatus having the same, and method of transferring substrate using the same
JPH09275076A (ja) 半導体装置の製造方法、半導体製造装置およびそのクリーニング方法
JPH1050679A (ja) 乾式エッチング器の残留ガス除去装置および除去方法
US8904955B2 (en) Substrate processing apparatus
KR100269315B1 (ko) 램프가열방식의매엽식장비를이용한반도체장치의제조방법
US6464930B2 (en) Furnace of apparatus for manufacturing a semiconductor device having a heat blocker for preventing heat loss during the unloading of wafers
US6388263B1 (en) Vacuum system with mist prevention apparatus for manufacturing semiconductor devices and method using the same
JPH0969515A (ja) 半導体製造装置用真空処理装置
KR101032043B1 (ko) 반도체 제조설비의 가스 쿨링시스템
JP4169303B2 (ja) 単結晶引上げ設備及びその操作方法
JPH0982594A (ja) 半導体製造装置における室内減圧方法
JP2657254B2 (ja) 処理装置及びその排気方法
KR20020018823A (ko) 반도체 제조 공정에 사용되는 화학기상 증착장치
JPH09162126A (ja) 化学気相成長装置
KR200294169Y1 (ko) 반도체제조용가열장치
JP2002164320A (ja) 基板処理装置および基板処理方法
KR20100091069A (ko) 배치 처리 시스템 및 이의 세정 방법
JP2000164483A (ja) 密着強化処理方法及び密着強化処理装置
KR20000000947A (ko) 반도체소자의 제조방법
KR20040014068A (ko) 로드 락 챔버 퍼지 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 16

LAPS Lapse due to unpaid annual fee