KR102514109B1 - 연속적인 오목 형태를 갖는 히터 블록 - Google Patents

연속적인 오목 형태를 갖는 히터 블록 Download PDF

Info

Publication number
KR102514109B1
KR102514109B1 KR1020180065844A KR20180065844A KR102514109B1 KR 102514109 B1 KR102514109 B1 KR 102514109B1 KR 1020180065844 A KR1020180065844 A KR 1020180065844A KR 20180065844 A KR20180065844 A KR 20180065844A KR 102514109 B1 KR102514109 B1 KR 102514109B1
Authority
KR
South Korea
Prior art keywords
heater block
concave
shape
depressions
center
Prior art date
Application number
KR1020180065844A
Other languages
English (en)
Other versions
KR20180135413A (ko
Inventor
히로시 콘도
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20180135413A publication Critical patent/KR20180135413A/ko
Application granted granted Critical
Publication of KR102514109B1 publication Critical patent/KR102514109B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

샤워 헤드 및 반응 챔버를 포함하는 플라즈마 퇴적 또는 플라즈마 에칭 장치에 설치되는 히터 블록으로서, 상기 히터 블록은 기판을 지지할 수 있도록 상기 반응 챔버 내에 배치되며, 상기 히터 블럭을 통과하는 적어도 하나의 스루-홀, 및 상부 면 상에, 서로로부터 분리되며, 그리고 연속적인 오목 형태로 정의된 복수의 표면들을 포함하며, 상기 연속적인 오목 형태는, 복수의 메인 오목부들 또는 함몰부들, 매 2개의 인접한 메인 오목부들 또는 함몰부들을 실질적으로 연결하는 복수의 오목부 채널들, 및 상기 히터 블록의 중심에서, 상기 복수의 메인 오목부들 또는 함몰부들과 다른 형상 또는 크기의 오목부 또는 함몰부를 포함한다.

Description

연속적인 오목 형태를 갖는 히터 블록{Heater block having continuous concavity}
본 명세서는 일반적으로 반도체 제조 공정, 특히 플라즈마 퇴적 또는 식각 장치에 사용되는 장치용 히터 블록 또는 작업대상물 지지대에 관한 것이다.
반도체 제조 공정의 일부로서, 플라즈마 강화 화학 기상 퇴적(PECVD) 및 플라즈마 강화 원자층 퇴적(PEALD) 공정은 일반적으로 챔버 내에서 히터 블록 또는 작업대상물 지지대 상에 위치한, 반도체 기판과 같은 작업대상물의 패턴화 된 표면 상에 막을 증착하는데 사용된다. 이러한 공정들은 전형적으로 전구체 가스 또는 가스 혼합물을 히터 블록 또는 작업대상물 지지대 상의 작업대상물을 포함하는 챔버 내로 도입함으로써 달성된다. 전구체 가스 또는 가스 혼합물은 일반적으로 챔버의 상부 둘레에 위치한 샤워 플레이트를 통해 하향으로 향하게 된다.
이러한 공정들에서, 히터 블록 또는 작업대상물 지지대는 기판을 지지하는데 사용되며, 그리고 그 표면은 볼록부들(convexes)이 상기 기판을 지지하고 그리고 오목부들(concaves)이 상기 기판과 접촉하지 않도록 패턴들(예를 들어, 연속적인 오목부들 및 볼록부들)을 부가하도록 처리될 수 있으며, 그리하여 상기 패턴들은 이물질이 기판의 후면에 부착되는 것을 방지하거나 또는 기판이 히터 블록 또는 작업대상물 지지대에 달라붙는 것을 방지하는 역할을 할 수 있다.
통상적으로, 히터 블록 또는 작업대상물 지지대 상의 기판의 위치 결정은 종종 인간에 의해 수동으로 행해진다. 그러나, 최근에는 기판의 위치를 자동으로 인식하는 기술이 발전하여 기판 중심의 위치를 검출하는 것이 기판 이송을 위한 기판 위치의 자동 인식을 달성하는데 중요해지고 있다.
도 1은 그의 상부 표면을 도시하는 히터 블록(11)의 개요를 도시한다. 도 1에서, 히터 블록(11)의 기판-지지 영역(17)의 상면에는 원통 형상의 복수 개의 오목부들(2l)이 설치되어 있다. 도 2는 히터 블록(11)의 상부 면의 일부분의 단면 A-A'의 확대도를 도시한다. 인접한 오목부들(2)의 중심들 사이의 거리는 대략 일정하다. 도 1에 도시된 히터 블록(11)은 기판-지지 표면(6) 상에 복수의 보조개형 오목부들(2)을 가지며, 상기 기판-지지 표면(6)은 연속적인 평면이다. 오목부들(2)의 패턴에 관계없이 기판 지지 핀들을 위한 스루-홀들(4)이 배치되어 있다.
도 1의 이러한 종류의 히터 블록이 기판 위치의 자동 인식 기술과 함께 사용될 경우, 상기 히터 블록(11)의 상부 표면이 유사한 패턴의 오목부들(2)을 가지고 있기 때문에 자동 인식 센서가 상기 히터 블록(11)의 상부 면 상에 위치한 타겟 기판의 중심 지점의 위치를 정확하게 측정 또는 검출하는 것이 어렵다.
본 발명이 해결하고자 하는 과제는 개선된 히터 블록을 제공하는 데 있다.
본 발명의 실시예의 목적은 샤워 헤드, 히터 블록 및 반응 챔버를 포함하는 플라즈마 증착 장치 또는 플라즈마 에칭 장치에 설치되도록 적합하게 된 히터 블록을 제공함에 있으며, 상기 히터 블록은 기판을 지지하기 위해 상기 반응 챔버에 배치되도록 적합하게 되며, 상기 히터 블록은 상기 히터 블록을 통과하는 적어도 하나의 스루-홀(through-hole); 및 상부 면에서 서로로부터 분리되고 연속적인 오목 형태에 의해 정의된 복수의 표면들을 포함하며, 상기 연속적인 오목 형태는 복수의 메인 오목부들 또는 함몰부들, 매 2개의 인접한 메인 오목부들 또는 함몰부들을 실질적으로 연결하는 복수의 오목부 채널들, 그리고 상기 히터 블록의 중심에서 상기 복수의 메인 오목부들 또는 함몰부들과 다른 형상 또는 크기의 오목부 또는 함몰부를 포함한다.
하나의 양태에서, 히터 블록이 샤워 헤드, 히터 블록 및 반응 챔버를 포함하는 플라즈마 퇴적 장치 또는 플라즈마 에칭 장치에 설치되도록 적합하게 되며, 상기 히터 블록은 기판을 지지하기 위해 상기 반응 챔버에 배치되며, 상기 히터 블록은 상기 히터 블록을 통과하는 적어도 하나의 스루-홀, 및 상부 면에서 서로로부터 분리되고 연속적인 오목 형태에 의해 정의된 복수의 표면들을 포함하며, 상기 연속적인 오목 형태는 복수의 메인 오목부들 또는 함몰부들, 매 2개의 인접한 메인 오목부들 또는 함몰부들을 실질적으로 연결하는 복수의 오목부 채널들을 포함하며, 상기 히터 블록의 중심에서 오목부 또는 볼록부는 복수의 볼록부들의 내부 측벽들에 의해 정의되며, 상기 복수의 볼록부들의 외부 측벽들의 윤곽은 원형 또는 다각형 형상을 가진다.
하나의 실시예에서, 샤워 헤드, 히터 블록, 및 반응 챔버를 포함하는 플라즈마 퇴적 또는 플라즈마 에칭 장치에 설치되는 히터 블록을 포함하는 기판 처리 장치가 제공되며, 상기 히터 블록은 기판을 지지하기 위해 상기 반응 챔버에 배치되며, 상기 히터 블록은 상기 히터 블록을 통과하는 적어도 하나의 스루-홀, 및 상부 면 상에, 서로로부터 분리되며, 연속적인 오목 형태에 의해 정의된 복수의 표면들을 포함하며, 상기 연속적인 오목 형태는, 복수의 메인 오목부들 또는 함몰부들, 매 2개의 인접한 메인 오목부들 또는 함몰부들을 실질적으로 연결하는 복수의 오목부 채널들, 및 상기 히터 블록의 중심에서, 상기 복수의 메인 오목부들 또는 함몰부들과 다른 형상 또는 크기의 오목부 또는 함몰부를 포함한다.
하나의 실시예에서, 샤워 헤드, 반응 챔버, 및 상기 반응 챔버에 배치된 히터 블록을 포함하는 처리 장치가 제공되며, 상기 히터 블록은 상기 히터 블록을 통과하는 적어도 하나의 스루-홀, 및 상부 면 상에, 서로로부터 분리되며, 연속적인 오목 형태에 의해 정의된 복수의 표면들을 포함하며, 상기 연속적인 오목 형태는, 복수의 메인 오목부들 또는 함몰부들, 매 2개의 인접한 메인 오목부들 또는 함몰부들을 실질적으로 연결하는 복수의 오목부 채널들, 및 상기 히터 블록의 중심에서, 상기 복수의 메인 오목부들 또는 함몰부들과 다른 형상 또는 크기의 오목부 또는 함몰부를 포함한다.
본 발명의 양태들 그리고 관련 기술을 넘어 달성된 하나 이상의 장점들을 요약하기 위한 목적으로, 일정한 목적들 및 장점들이 본 개시물에 기술된다. 물론, 모든 또는 임의의 이러한 목적들 또는 장점들이 반드시 임의의 특정한 실시예에 따라 달성될 수 있을 필요는 없다고 이해되어야 할 것이다. 따라서, 예를 들어 통상의 기술자는 본 발명이 본 명세서에서 교시되거나 제안될 수 있는 바와 같은 하나 또는 그 이상의 목적들 또는 장점들을 반드시 달성함이 없이도 본 명세서에 교시된 바와 같은 하나의 장점 또는 장점들의 그룹을 달성하거나 최적화하는 방식으로 구현되거나 수행될 수 있다는 것을 인식할 것이다. 본 발명의 추가적인 양태들, 특징들 및 장점들이 이어지는 상세한 설명으로부터 명백하게 될 것이다.
본 명세서에 기술된 상기 시스템 및/또는 방법의 이들 및 다른 목적들, 특징들, 그리고 특성들 뿐만 아니라 동작 방법들 그리고 구조물의 관련된 구성요소들의 기능들 그리고 부품들의 조합 그리고 제조의 경제성이 첨부하는 도면들과 관련하여 이어지는 상세한 설명 및 첨부한 청구항들을 고려하여 더욱 명백하게 될 것이며, 그것의 모두는 본 명세서의 일부를 형성하며, 여기서 동일한 참조 번호들은 다양한 도면들에서 대응하는 부품들을 나타낸다. 그러나 도면들은 단지 도해 및 설명의 목적을 위한 것이며 본 발명의 제한들의 한정으로서 의도된 것은 아니라는 것임을 명시적으로 이해되어야 한다. 상세한 설명 및 청구항들에서 사용된 바와 같이, "a", "an" 및 "the"의 단수 형태는 문맥상 다르게 지시하지 않는 한 복수형을 포함한다.
본 발명의 이들 및 다른 특징들은 본 발명을 설명하기 위한 것이지 본 발명을 제한하고자 하는 것은 아닌 바람직한 실시 예들의 도면을 참조하여 설명될 것이다. 도면들은 설명의 편의를 위해 지나치게 단순화되어 있으며 반드시 일정한 비율로 도시되어 있을 필요는 없다.
도 1은 상부 면을 보여주는 히터 블록의 개략도이다.
도 2는 복수의 오목부들과 기판-지지 표면을 보여주는 도 1의 히터 블록의 일부를 부분적으로 확대한 개략도이다.
도 3은 하나의 실시예에 따른 히터 블록을 포함하는 PEALD 장치의 개략도이다.
도 4는 하나의 실시예에 따른 상부 면을 보여주는 히터 블록의 개략도이다.
도 5는 복수의 메일 오목부들과 기판-지지 표면을 보여주는 하나의 실시예에 따른 히터 블록의 부분 확대된 개략도이다.
도 6은 하나의 실시예에 따른 히터 블록의 중심 영역의 부분 확대된 개략도이다.
도 7은 하나의 실시예에 따른 히터 블록의 개략도이다.
도 8은 하나의 실시예에 따른 히터 블록의 중심 영역의 부분 확대된 개략도이다.
도 9는 하나의 실시예에 따른 히터 블록의 개략도이다.
도 10은 하나의 실시예에 따른 히터 블록의 중심 영역의 부분 확대된 개략도이다.
도 11은 하나의 실시예에 따른 히터 블록의 개략도이다.
도 12는 하나의 실시예에 따른 하나의 실시예에 따른 히터 블록의 중심 영역의 부분 확대된 개략도이다.
도 13은 하나의 실시예에 따른 히터 블록의 개략도이다.
도 14는 하나의 실시예에 따른 히터 블록의 중심 영역의 부분 확대된 개략도이다.
본 발명은, 이들에 제한되는 것은 아니지만, 다음의 실시예들을 포함한다.
본 발명의 실시예들은 일반적으로 반도체 제조 공정을 수행하기 위한 장치의 히터 블록, 작업대상물 지지대 또는 기판-지지 장치(이하, "히터 블록(heater block)"이라 지칭함)에 적용된다. 이러한 제조 공정의 일례로서, 플라즈마 강화 ALD(PEALD) 공정은 상기 장치가 막을 퇴적시키는데 어떻게 사용되고 그리고 히터 블록이 상기 장치에서 어떻게 사용되는지를 더 잘 이해하기 위해 설명된다. 히터 블록은 PECVD 공정을 수행하는 장치에 대해 대안적으로 또는 부가적으로 사용될 수 있음은 말할 필요도 없다. 또한, 본 발명의 실시예들은 PEALD 및 PECVD 공정들을 수행하는 장치에서의 사용 또는 장치와 함께 사용으로 제한되도록 의도되는 것은 아니다.
PEALD 공정에서, 작업대상물(예를 들어, 반도체 웨이퍼와 같은 기판)은 반응 챔버에 위치되고 그리고 교대로 반복되는 표면 반응들을 겪는다. 얇은 SiN 막들은 자기-제한적 ALD 사이클의 반복에 의해 형성된다. 바람직하게는, SiN 막들을 형성하기 위해, 각각의 ALD 사이클은 적어도 2개의 별개의 단계들(phases)을 포함한다. 반응 공간으로부터 반응물의 공급 및 제거는 하나의 단계로 간주될 수 있다. 제 1 단계에서, 실리콘을 포함하는 제 1 반응물이 제공되어 작업대상물 표면 상에 약 하나보다 많지 않은 단층을 형성한다. 이 반응물은 본 명세서에서 "실리콘 전구체", "실리콘-함유 전구체", "할로겐-함유 실리콘 전구체" 또는 "실리콘 반응물"이라고도 지칭되며, 예를 들어, H2Sil2, (Sil2)(NH2)2, (Sil2)(NHMe)2, (Sil2)(NHEt)2, (Sil2)(NHiPr)2, (Sil2)(NHtBu)2, (Sil2)(NMe2)2, (Sil2)(NMeEt)2, (Sil2)(NMeiPr)2, (Sil2)(NMetBu)2, (Sil2)(NEt2)2, (Sil2)(NEtiPr)2, (Sil2)(NEttBu)2, (Sil2)(NiPr2)2, (Sil2)(NiPrtBu)2, 및 (Sil2)(NtBu)2 일 수 있다.
제2 단계에서, 반응성 종들을 포함하는 제2 반응물이 제공되며 흡착된 실리콘을 실리콘 질화물로 변환할 수 있다. 상기 제2 반응물은 질소 전구체를 포함할 수 있다. 상기 반응성 종들은 활성화된 종들을 포함할 수 있다. 비활성 가스들로부터의 이들 반응 종들은 퇴적된 막에 대하여 반드시 물질을 기여할 필요는 없으나, 일부 경우들에서 막 성장에 기여할 수 있을 뿐만 아니라 플라즈마의 형성 및 점화를 도울 수 있다. 일부 실시예들에서, 플라즈마를 형성하기 위해 사용되는 가스는 퇴적 공정에 걸쳐 일정하게 흐를 수 있으나, 단지 간헐적으로만 활성화될 수 있다.
원하는 바에 따라 최종 막의 조성을 조절하기 위해 추가적인 단계들이 추가되거나 제거될 수 있다. 상기 반응물들 중 하나 이상은 예컨대 Ar 또는 He과 같은 캐리어 가스의 도움을 받아 제공될 수 있다. 상기 단계들 중 둘은 중첩되거나 결합될 수 있다. 예를 들어, 상기 실리콘 전구체 및 상기 제2 반응물은 부분적으로 또는 완전히 중첩되는 펄스들로 동시에 제공될 수 있다. 또한, 상기 제1 및 제2 단계들 및 상기 제1 및 제2 반응물들로 지칭되었으나, 상기 단계들의 순서는 변화될 수 있으며, ALD 사이클은 상기 단계들 중 임의의 하나로 시작할 수 있다. 즉, 달리 특정되지 않는 한, 상기 반응물들은 임의의 순서로 제공될 수 있으며, 상기 공정은 상기 반응물들 중 임의의 것으로 시작할 수 있다.
다음으로, 플라즈마 퇴적 장치의 예시적인 실시예의 구성이 이하에서 상세히 설명된다.
상기 플라즈마 퇴적 장치의 예로서, 도 3은 일 실시예에 따른 PEALD 장치(1)의 개략도를 도시한다. 도 3에 도시된 바와 같이, 상기 PEALD 장치(1)는 챔버 벽(19), 상기 챔버(10)의 상단에 제공되는 샤워헤드(12)(또는 샤워 플레이트(12)), 및 상기 샤워헤드(12)(일 실시예에서, 전극을 형성함) 주위에 제공되는 절연체(34)에 의해 적어도 부분적으로 정의되는 진공(반응) 챔버(10)를 포함한다. 히터 블록(또는 작업대상물 지지대, 기판-지지 장치)(5)이 상기 샤워헤드(12)에 실질적으로 평행하게 상기 챔버(10) 내에 제공된다. RF 파워 소스들(7 및 8)은 상기 샤워헤드(12)에 부착된 가스 덕트에 연결된다. 상기 챔버(10)는 측부의 배기 밸브(미도시)를 가지는 개구를 가지며, 배기 펌프(미도시)에 연결된 배기 덕트(미도시)를 포함한다. 추가적으로, 상기 챔버(10)는 접지되며 상기 샤워헤드(12)로부터 상기 절연체(34)에 의해 절연된다. 상기 챔버(10)는 또한 내부 측벽 상에 작업대상물의 이동을 위한 게이트 밸브(미도시)를 가지는 개구를 가진다.
상기 샤워헤드(12) 및 쉴드 플레이트(13)는 하나 이상의 나사들(25)로 서로 고정되며, O-링(14)이 상기 샤워헤드(12)와 상기 쉴드 플레이트(13) 사이에 제공된다. 상기 샤워헤드(12) 내에서, 많은 가스 배출구들(21)(상기 샤워헤드(12)의 영역(20)(예를 들어, 원형 영역) 내의 홀들 또는 포어들)이 도 3에 도시된 바와 같이 형성되어 상기 가스 입구 포트로부터 도입된 소스 가스의 분출이 상기 개구로부터 상기 히트 블록(5)을 향해 분출된다. 상기 히터 블록(5)은 서셉터(50) 및 상기 히터 블록(5)의 하단에서 상기 서셉터(50)에 부착된 히터(51)로 구성된다. 상기 서셉터(50)는 상기 샤워헤드(12)에 실질적으로 평행하게 배치되며, 그 상면 상에 놓인 기판(16)을 지지하는데 사용된다.
기판의 위치의 자동적인 인식 또는 검출은 ATS(Auto Teaching System), 예를 들어, WaferSenseTM ATS를 사용하여 수행될 수 있다. 상기 ATS 센서는 발광 다이오드들을 사용하여 상기 히터 블록 상의 타겟 기판의 이미지들을 획득하기 위해 융기된 이동 핀들 상에 놓일 수 있다. 상기 ATS는 원형 타겟 기판들을 인식하는데 장점을 가지며, 획득된 이미지들을 분석하고 그 자체의 기하학적 중심으로부터 상기 타겟의 중심까지의 X-Y-Z 오프셋을 측정할 수 있다. 상기 ATS는 이후 이들 이미지들을 컴퓨터로 전송하고 이들 이미지들을 그 스크린 상에 디스플레이할 수 있다. 상기 ATS는 실시간의 및 정확한 오프셋 측정을 제공할 수 있다. 타겟 기판의 오프셋을 검출하기 위해, 상기 히터 블록의 중심을 나타내는 클리어 마크(clear mark)가 제공되어 상기 ATS가 그 중심으로부터 상기 히터 블록 상의 타겟 기판의 중심까지의 오프셋을 측정할 수 있다. 이것이 기판 상에 형성된 막의 품질에 영향을 미치지 않도록 보장하는 것이 또한 중요하다.
일 실시예에서, 히터 블록은 샤워헤드, 히터 블록 및 반응 챔버를 포함하는 플라즈마 퇴적 또는 플라즈마 식각 장치 내에 설치되도록 적합하게 되며, 상기 히터 블록은 상기 반응 챔버 내에 배치되어 기판을 지지하고 상기 히터 블록을 통과하는 적어도 하나의 스루-홀 및 그 상면 상에서 서로 분리되며 연속적인 오목 형태(continuous concavity)에 의해 정의되는 복수의 표면들 포함하고 상기 연속적인 오목 형태는 복수의 메인 오목부들 또는 함몰부들, 매 2개의 인접한 메인 오목부들 또는 함몰부들을 실질적으로 연결하는 복수의 오목 채널들, 및 상기 복수의 메인 오목부들 또는 함몰부들의 형상 또는 크기와 상이한, 상기 히터 블록의 중심의, 오목부 또는 함몰부를 포함한다.
일 실시예에서, 상기 히터 블록의 중심의 오목부 또는 함몰부는 복수의 메인 오목부들 또는 함몰부들과 상이한 형상 또는 크기를 가지며, 상기 히터블록 중심의 오목부 또는 함몰부는 3차원으로 봤을 때 원통 형상, 선단이 없는 역상 원추 형상, 또는 다각형 기둥 형상을 가진다. 일 실시예에서, 상기 히터 블록의 중심에서 상기 오목부 또는 함몰부는 약 2 내지 약 20mm 사이의 직경을 가지는 원통 형상을 가진다.
일 실시예에서, 상기 히터 블록의 중심의 오목부 또는 함몰부는 복수의 볼록부들의 내부 측벽들에 의해 정의되며, 상기 복수의 볼록부들의 외부 측벽의 윤곽은 원형 또는 다각형 형상을 가진다. 일 실시예에서, 상기 복수의 메인 오목부들 또는 함몰부들 및 매 2개의 인접한 메인 오목부들 또는 함몰부들을 실질적으로 연결하는 상기 복수의 오목 채널들은 복수의 볼록부들의 측벽들에 의해 정의된다. 일 실시예에서, 상기 히터 블록의 중심의 상기 오목부 또는 함몰부를 정의하는 복수의 볼록부들은 복수의 메인 오목부들 또는 함몰부들을 정의하는 복수의 볼록부들 및 매 2개의 인접한 메인 오목부들 또는 함몰부를 실질적으로 연결하는 복수의 오목 채널들과 상이한 형상을 가진다.
일 실시예에서, 상기 히터 블록의 중심의 상기 볼록부 또는 함몰부를 정의하는 복수의 볼록부들은 상기 복수의 메인 오목부들 또는 함몰부들 및 매 2개의 인접한 메인 오목부들 또는 함몰부들을 실질적으로 연결하는 상기 복수의 오목 채널들을 정의하는 복수의 볼록부들과 상이한 형상을 가지며, 두 복수의 볼록부들은 가장자리들이 약 0.1mm 내지 약 2mm의 반경으로 둥글게 되거나 모따기된(chamfered) 측벽들을 가진다. 상기 복수의 볼록부들의 측벽들의 모서리들이 둥글게 되거나 모따기될 수 있는 경우, 이는 각각의 볼록부를 하나의 금속 가공 공정으로 형성할 수 있는 것이 가능하다는 것을 의미하여, 이로써 모서리들에서의 버(burr)의 형성을 실질적으로 감소시킨다. 이러한 볼록부들을 가지는 상기 히터블록은 상기 기판 상에 형성된 막의 품질을 향상시키는데 도움이 될 수 있다는 것이 밝혀졌다.
일 실시예에서, 상기 히터 블록의 중심의 상기 오목부 또는 함몰부는 인접한 메인 오목부들 또는 함몰부들을 실질적으로 둘씩 연결하는 상기 복수의 오목 채널들과는 상이한 형상 또는 크기의 오목 채널들을 통해 인접한 메인 오목부들과 연결된다. 상기 메인 오목부들 또는 함몰부들 각각은 실질적으로 동일한 형상 및 크기를 가진다. 일 실시예에서, 상기 적어도 하나의 스루-홀은 기판 리프트 핀에 사용되는 적어도 하나의 스루-홀을 포함한다.
일 실시예에서, 히터 블록은 샤워헤드, 히터 블록, 및 반응 챔버를 포함하는 플라즈마 퇴적 또는 플라즈마 식각 장치에 설치되도록 개조되며, 상기 히터 블록은 상기 반응 챔버 내에 배치되어 기판을 지지할 수 있도록 배치되며, 상기 히터 블록을 통과하는 적어도 하나의 스루-홀, 및 그 상면 상에서 서로 이격되며 연속적인 오목 형태에 의해 정의되는 복수의 표면들을 포함하며, 상기 연속적인 오목 형태는 복수의 메인 오목부들 또는 함몰부들, 매 2개의 인접한 메인 오목부들 또는 함몰부들을 실질적으로 연결하는 복수의 오목 채널들을 포함하며, 상기 히터 블록의 중심의 오목부 또는 함몰부는 복수의 볼록부들의 내부 측벽들에 의해 정의되고, 상기 복수의 볼록부들의 외부 측벽의 윤곽은 원형 또는 다각형 형상을 가진다. 일 실시예에서, 상기 히터 블록의 중심의 상기 오목부 또는 함몰부는 매 2개의 인접한 메인 오목부들 또는 함몰부들을 실질적으로 연결하는 복수의 오목 채널들과 형상 또는 크기가 상이한 오목 채널들을 통해서 인접한 메인 오목부들과 연결된다.
일 실시예에서, 히터 블록은 샤워헤드, 히터 블록, 및 반응 챔버를 포함하는 플라즈마 퇴적 또는 플라즈마 식각 장치에 설치되도록 개조되며, 상기 히터 블록은 상기 반응 챔버 내에 배치되어 기판을 지지할 수 있도록 배치되며, 상기 히터 블록을 통과하는 적어도 하나의 스루-홀, 및 그 상부 면 상에서 서로 분리되며 연속적인 오목 형태에 의해 정의되는 복수의 표면들 포함하며, 상기 연속적인 오목 형태는 복수의 메인 오목부들 또는 함몰부들, 매 2개의 인접한 메인 오목부들 또는 함몰부들을 실질적으로 연결하는 복수의 오목 채널들을 포함하며, 상기 히터 블록의 중심의 오목부 또는 함몰부는 복수의 볼록부들의 내부 측벽들에 의해 정의되고, 상기 복수의 볼록부들의 외부 측벽의 윤곽은 원형 또는 다각형 형상을 가진다.
일 실시예에서, 기판 처리 장치는 본 명세서에 설명된 바와 같은 히터 블록을 포함한다. 일 실시예에서, 기판 처리 장치는 본 명세서에 설명된 바와 같은 히터 블록을 포함하며, 복수의 메인 오목부들 또는 함몰부들, 매 2개의 인접한 메인 오목부들 또는 함몰부들을 실질적으로 연결하는 복수의 오목 채널들, 및 상기 복수의 메인 오목부들 또는 함몰부들과 상이한 형상 또는 크기의 상기 히터 블록의 중심의 오목부 또는 함몰부는 복수의 볼록부들에 의해 정의되며, 상기 기판은 상기 복수의 볼록부들의 상면에 의해 실질적으로 지지된다. 일 실시예에서, 기판 처리 장치는 본 명세서에 설명된 것과 같은 히터 블록을 포함하며, 상기 히터 블록은 서셉터 및 히터를 포함한다.
일 실시예에서, 처리 장치는 샤워헤드; 반응 챔버; 상기 반응 챔버 내에 배치된 히터 블록을 포함하고, 상기 히터 블록은 상기 히터 블록을 통과하는 적어도 하나의 스루-홀, 및 그 상부 면 상에서 서로 이격되며 연속적인 오목 형태에 의해 정의되는 복수의 표면들을 포함하며, 상기 연속적인 오목 형태는 복수의 메인 오목부들 또는 함몰부들, 매 2개의 인접한 메인 오목부들 또는 함몰부들을 실질적으로 연결하는 복수의 오목 채널들, 상기 복수의 메인 오목부들 또는 함몰부들과 상이한 형상 또는 사이즈의 상기 히트 블록의 중심의 오목부 또는 함몰부를 포함한다. 일 실시예에서, 전술한 처리 장치는 상기 히터 블록의 중심의 오목부 또는 함몰부가 3차원으로 보았을 때 원통 형상, 선단이 없는 역상 원추 형상 또는 다각 기둥 형상을 가지는 히터 블록을 포함한다. 일 실시예에서, 상기 전술한 처리 장치는 상기 히터 블록의 중심의 오목부 또는 함몰부가 약 2 내지 약 20mm의 직경을 가지는 원통 형상을 가지는 히터 블록을 포함한다.
일 실시예에서, 상기 히터 블록의 외주는 의도적으로 상기 히터 블록의 상면이 상기 기판 또는 웨이퍼와 항상 접하는 형태로 형상화된다. 규치적인 오목 패턴이 부가된 상기 히터 블록 영역은 웨이퍼 영역보다 작게 제조될 수 있다.
전술한 히터 블록을 채택함으로써, 상기 기판과 히터 블록 표면 사이의 가스들(상기 기판의 후면 아래에 존재하는 가스들)은 전술한 오목 표면을 따라 이동할 수 있으며 상기 반응 챔버 내의 압력 또는 가스 유량이 변할 시 상기 스루-홀들을 통해 흐를 수 있다. 그 결과, 상기 히터 블록은 막이 형성되는 동안 또는 이후의 퍼지 사이클 동안 공정 조건들이 변화될 때 상기 히터 블록의 표면 상으로의 미끄러짐으로 인한 불균일한 막 두께의 형성의 발생을 최소화할 수 있다.
일 실시예에서, 상기 오목부는 원통 형상, 꼭지점이 없는 역상 원추 형상 또는 다각형 기둥 형상을 가진다. 그 단면 형상은 삼각형, 사각형, 오각형, 육각형 또는 다른 다각형 또는 심지어 원과 더불어 타원일 수 있다. 일 실시예에서, 상기 오목부는 사다리꼴 형상을 가진다. 이상적으로, 상기 메인 오목부들은 동일한 사이즈 및 형상을 가지며 상기 히터 블록의 상면 전체에 걸쳐 대략 균일하게 분포된다. 이들 오목부들을 연결하는 상기 채널들(또는 오목 채널들)은 단지 상기 오목부들을 연결하기만 하면 되고 임의의 종단면 형상, 예컨대 역삼각형, 원형, 또는 사각형과 더불어 타원을 가질 수 있다. 일 실시예에서 상기 채널의 깊이는 상기 오목부와 동일하나, 상기 채널은 상기 오목부보다 얕을 수 있다. 일 실시예에서 상기 채널의 폭은 상기 오목부의 직경보다 작다. 그러나, 상기 채널을 너무 얕게 만드는 것은 배기 컨덕턴스(배기 저항)를 증가시켜, 가스의 충분한 배기를 방지하고 기대되는 미끄러짐 방지 효과의 달성을 방해한다.
전술한 실시예들 중 하나에서, 상기 메인 오목부들 또는 함몰부들 각각은 실질적으로 동일한 형상 및 사이즈를 가질 수 있다. 일 실시예에서, 상기 함몰된 채널들 또는 오목 채널들은 상기 메인 오목부들 또는 함몰부들의 직경보다 작은(예를 들어, 70% 미만) 폭을 가질 수 있다. 다른 실시예에서, 상기 함몰된 채널들은 메인 오목부들 또는 함몰부들의 직경과 거의 동일한 폭을 가질 수 있다. 일 실시예에서, 상기 함몰된 채널들 또는 오목 채널들은 상기 오목면 내의 가스 흐름을 향상시키는데 효과적인(배기 컨덕턴스가 효과적으로 낮은) 깊이를 가질 수 있다. 일 실시예에서, 상기 깊이는 상기 메인 오목부들 또는 함몰부들의 깊이와 동일하거나 상기 메인 오목부들 또는 함몰부들의 깊이보다 작을(예를 들어, 70% 미만) 수 있다.
도 4는 일 실시예에 따른 히터 블록의 개략도를 도시한다. 도 4에서, 오목부들은 채널들 및 다음으로 오목부들과 연결되며, 상기 기판-지지 장치를 통과하는 웨이퍼 지지 핀들 주위에 홀들이 생성된다. 즉, 도 4에 도시된 히터 블록(11)은 상기 기판-지지 영역(17) 내에 도 1에 도시된 것과 같은 많은 오목부들(메인 오목부들)(2)을 가지며, 3개의 인접한 오목부들의 중심부를 연결하는 것은 정삼각형을 형성한다. 또한, 주어진 오목부에 인접한 6개의 오목부들의 중심들을 연결하는 것은 정육각형을 형성한다. 각 오목부(2)는 채널(3)에 의해 연결되며, 상기 채널(3)의 종단면은 직사각형이다. 도 5는 도 4의 점선으로 표시된 원 부분의 확대도 및 상기 히터 블록(11)의 B-B' 단면의 확대도를 도시한다. 상기 채널들(3)은 상기 기판-지지 표면(볼록부들)(6), 경계가 상기 채널들(3) 및 오목부들(2)에 의해 정의되는 볼록부들로 구성된 불연속적인 평면, 또는 고립된 표면들의 그룹을 만든다. 반면, 상기 오목부들(2)은 또한 채널들(3)에 의해 연결된 오목부들을 포함하는 연속적인 오목한 구조를 형성한다. 도 4에 도시된 상기 히터 블록은 기판 지지 핀들(4)을 위한 스루 홀에 연결된 단일한 연속적인 오목한 구조를 가진다. 이러한 방식으로, 상기 오목부 내에 트랩된 가스들은, 상기 기판 표면 조건이 변할 때, 상기 기판의 후면 및 상기 히터 블록에 의해 구성되는 폐 공간으로부터 상기 오목부들을 연결하는 상기 채널들을 통해 배기될 수 있다. 그 결과, 상기 기판의 히터 블록 상에서의 미끄러짐이 방지될 수 있다.
도 6은 상기 히터 블록의 상기 상면의 상기 중심 영역의 확대 사시도를 도시한다. 도 4에 또한 도시된 바와 같이, 중심 오목부(15)기 형성되며 채널들(3)을 통해 인접한 메인 오목부들(2)과 연결된다. 상기 메인 오목부들(2)은 복수의 볼록부들(6)의 내부 측벽들에 의해 정의된다. 상기 중심 오목부(15)는 복수의 볼록부들(9)의 내부 측벽들에 의해 정의된다. 상기 중심 오목부(15)는 매 2개의 인접한 메인 오목부들(3)을 실질적으로 연결하는 상기 복수의 오목 채널들(3)과 상이한 형상 또는 크기의 오목 채널들(18)을 통해 인접한 메인 오목부들(3)과 연결된다. 볼록부(9)는 볼록부(6)와 상이한 단면 형상 또는 크기를 가지며, 상기 볼록부들(9)의 외부 측벽들의 윤곽은 실질적으로 원의 형상을 형성하는 반면, 상기 볼록부들(6)의 외부 측벽들은 그러한 형상을 나타내지 않는다. 상기 볼록부들(9)의 형상 때문에, 인접한 볼록부들(6)은 부분 원을 형성한다.
상기 히터 블록의 중심에 형성된 (중심 오목부(15) 및 볼록부들(9)의 외부 측벽들의 윤곽의) 이들 특징적인 형상들을 사용하여, 상기 ATS는 상기 히터 블록의 중심을 인식할 수 있으며, 원형 타겟 기판의 중심을 검출하여 상기 ATS는 그 중심으로부터 상기 히터 블록 상의 타겟 기판의 중심까지의 오프셋들을 성공적으로 측정할 수 있다.
또한, 상기 히터 블록 표면 구성을 채택함으로써, 상기 기판과 히터 블록 표면 사이의 가스(상기 기판의 후면 아래에 존재하는 가스)는 전술한 오목한 구조를 따라 여전히 이동할 수 있으며 상기 반응 챔버 내의 압력 또는 가스 유량이 변화할 때 상기 스루 홀들을 통해 흐를 수 있다.
본 발명의 실시예들은 본 발명을 제한하려는 의도가 아닌 구체적인 예들을 참조하여 상세히 설명될 것이다. 구체적인 예들에 적용된 수치 값들은 적어도 ±50%의 범위로 수정될 수 있으며, 범위들의 끝점들은 포함되거나 제외될 수 있다.
<예시들>
이하, 본 발명의 실시예가 이하의 예시들을 참조하여 설명될 것이며, 이것은 본 발명의 범위를 한정하려는 의도는 아니다.
<예시 1>
도 7 및 도 8에 묘사된 바와 같은 히터 블록
히터 블록의 실시예의 개략도가 도 7에서 보여진다. 또한 히터 블록의 실시예의 중심 영역의 확대된 개략도가 도 8에서 보여진다. 상기 예시에서 사용된 특정 값들이 괄호 안에 표시된다.
본 예시에서, 히터 블록의 상부 표면의 구성들은 다음과 같다:
메인 오목부들의 수 : 약 300 내지 약 1000(예: 650)의 범위에서 선택된다.
메인 오목부 직경 : 약 2mm 내지 약 20mm(예: 9.0mm)의 범위에서 선택된다.
메인 오목부 깊이 : 약 0.025mm 내지 약 15mm(예: 0.15mm)의 범위에서 선택된다.
메인 오목부 중심들 사이의 거리 : 약 5mm 내지 약 20mm(예: 11.5mm)의 범위에서 선택된다.
채널 폭 : 약 1.0 내지 약 14 mm(예: 2.0 mm)의 범위에서 선택된다.
채널 깊이 : 약 0.025 mm 내지 약 15 mm(예: 0.2 mm)의 범위에서 선택된다.
중심 오목부 직경 : 약 2.0 내지 약 17 mm(예: 9.0 mm)의 범위에서 선택된다.
중심 오목부 깊이 : 약 0.025mm 내지 약 15mm 범위(예: 0.15mm)에서 선택된다.
중심 오목부의 형상 : 원형(예: 원형)
중앙 오목부를 정의하는 볼록부의 외부 측벽들 윤곽의 형상 : 원형(예: 원형)
중심 오목부를 정의하는 볼록부의 외부 측벽들 윤곽의 형상의 직경 : 약 3 mm 내지 약 25 mm(예: 13 mm)의 범위에서 선택된다.
중심 오목부로부터의 채널 폭 : 약 1.0 mm 내지 약 14 mm 범위(예: 2.0 mm)로부터 선택된다.
중심 오목부로부터의 채널 깊이 : 약 0.025mm 내지 약 15mm(예: 0.2mm)의 범위로부터 선택된다.
히터 블록 재질 : 알루미늄(예: 알루미늄)
히터 블록 두께 : 약 20 mm에서 약 120 mm 범위(예: 33 mm)에서 선택된다.
히터 블록 직경 : 약 295 mm 내지 약 475 mm(예: 345 mm)의 범위에서 선택된다.
본 예시에서, 중심에서 오목부 또는 함몰부는 원형 형상을 가지며, 중심 오목부 또는 함몰부를 정의하는 볼록부들의 외부 측벽들의 윤곽도 또한 원형 형상을 가진다. 이러한 방식으로, ATS는 중심 오목부를 갖는 원형 타겟 기판의 중심, 및 상기 타겟 기판의 중심을 나타내는 클리어 마크(clear mark)로서 기능하는 원형 형상의 윤곽을 검출할 수 있어서, ATS가 그 중심으로부터 상기 히터 블록에서 타겟 기판의 중심까지 오프셋들을 성공적으로 측정할 수 있다. 또한, 이것은 기판 상에 형성된 막의 품질에 영향을 주지 않는 것으로 확인되었다.
<예시 2>
도 9 및 도 10에 묘사된 바와 같은 히터 블록
히터 블록의 실시예의 개략도가 도 9에서 보여진다. 또한 히터 블록의 실시예의 중심 영역의 확대된 개략도가 도 10에서 보여진다. 상기 예시에서 사용된 특정 값들이 괄호 안에 표시된다.
본 예시에서, 히터 블록의 상부 표면의 구성들은 다음과 같다:
메인 오목부들의 수 : 약 300 내지 약 1000(예: 650)의 범위에서 선택된다.
메인 오목부 직경 : 약 2mm 내지 약 20mm(예: 9.0mm)의 범위에서 선택된다.
메인 오목부 깊이 : 약 0.025mm 내지 약 15mm(예: 0.15mm)의 범위에서 선택된다.
메인 오목부 중심들 사이의 거리 : 약 5mm 내지 약 20mm(예: 11.5mm)의 범위에서 선택된다.
채널 폭 : 약 1.0 내지 약 14 mm(예: 2.0 mm)의 범위에서 선택된다.
채널 깊이 : 약 0.025 mm 내지 약 15 mm(예: 0.2 mm)의 범위에서 선택된다.
중심 오목부 직경 : 약 2.0 내지 약 17 mm(예: 9.0 mm)의 범위에서 선택된다.
중심 오목부 깊이 : 약 0.025mm 내지 약 15mm 범위(예: 0.15mm)에서 선택된다.
중심 오목부의 형상 : 원형(예: 원형)
중앙 오목부를 정의하는 볼록부의 외부 측벽들 윤곽의 형상 : 다각형(예: 육각형)
중심 오목부를 정의하는 볼록부의 외부 측벽들 윤곽의 형상의 크기 : 약 3 mm 내지 약 25 mm(예: 12 mm)의 범위에서 선택된다.
중심 오목부로부터의 채널 폭 : 약 1.0 mm 내지 약 14 mm 범위(예: 2.0 mm)로부터 선택된다.
중심 오목부로부터의 채널 깊이 : 약 0.025mm 내지 약 15mm(예: 0.2mm)의 범위로부터 선택된다.
히터 블록 재질 : 알루미늄(예: 알루미늄)
히터 블록 두께 : 약 20 mm에서 약 120 mm 범위(예: 45 mm)에서 선택된다.
히터 블록 직경 : 약 295 mm 내지 약 475 mm(예: 345 mm)의 범위에서 선택된다.
본 예시에서, 중심에서 상기 오목부 또는 함몰부는 원형 형상을 가지며, 중심 오목부 또는 함몰부를 정의하는 볼록부들의 외부 측벽들의 윤곽은 육각형 형상을 가진다. 이러한 방식으로, ATS는 또한 원형 형상의 중심 오목부를 갖는 원형 타겟 기판의 중심, 및 상기 타겟 기판의 중심을 나타내는 클리어 마크로서 기능하는 육각형 형상의 윤곽을 검출할 수 있어서, ATS가 상기 히터 블록에서 그 중심으로부터 타겟 기판의 중심까지 상기 오프셋들을 성공적으로 측정할 수 있다. 또한, 이것은 기판 상에 형성된 막의 품질에 영향을 주지 않는 것으로 확인되었다.
<예시 3>
도 11 및 도 12에 묘사된 바와 같은 히터 블록
히터 블록의 실시예의 개략도가 도 11에서 보여진다. 또한 히터 블록의 실시예의 중심 영역의 확대된 개략도가 도 12에서 보여진다. 상기 예시에서 사용된 특정 값들이 괄호 안에 표시된다.
본 예시에서, 히터 블록의 상부 표면의 구성들은 다음과 같다:
메인 오목부들의 수 : 약 300 내지 약 1000(예: 650)의 범위에서 선택된다.
메인 오목부 직경 : 약 2mm 내지 약 20mm(예: 9.0mm)의 범위에서 선택된다.
메인 오목부 깊이 : 약 0.025mm 내지 약 15mm(예: 0.15mm)의 범위에서 선택된다.
메인 오목부 중심들 사이의 거리 : 약 5mm 내지 약 20mm(예: 11.5mm)의 범위에서 선택된다.
채널 폭 : 약 1.0 내지 약 14 mm(예: 2.0 mm)의 범위에서 선택된다.
채널 깊이 : 약 0.025 mm 내지 약 15 mm(예: 0.2 mm)의 범위에서 선택된다.
중심 오목부 직경 : 약 2.0 내지 약 17 mm(예: 9.0 mm)의 범위에서 선택된다.
중심 오목부 깊이 : 약 0.025mm 내지 약 15mm 범위(예: 0.15mm)에서 선택된다.
중심 오목부의 형상 : 원형(예: 원형)
중심 오목부로부터의 채널 폭 : 약 1.0 mm 내지 약 14 mm 범위(예: 2.0 mm)로부터 선택된다.
중심 오목부로부터의 채널 깊이 : 약 0.025mm 내지 약 15mm(예: 0.2mm)의 범위로부터 선택된다.
히터 블록 재질 : 알루미늄(예: 알루미늄)
히터 블록 두께 : 약 20 mm에서 약 120 mm 범위(예: 45 mm)에서 선택된다.
히터 블록 직경 : 약 295 mm 내지 약 475 mm(예: 345 mm)의 범위에서 선택된다.
본 예시에서, 중심에서 상기 오목부 또는 함몰부는 상기 메인 오목부들 또는 함몰부들의 직경보다 작은 직경을 갖는 원형 형상을 가진다. 이러한 방식으로, ATS는 상기 타겟 기판의 중심을 나타내는 클리어 마크로서 기능하는 더욱 작은 원형 형상의 중심 오목부를 갖는 원형 타겟 기판의 중심을 검출할 수 있어서, ATS가 상기 히터 블록에서 그 중심으로부터 타겟 기판의 중심까지 상기 오프셋들을 성공적으로 측정할 수 있다. 또한, 이것은 기판 상에 형성된 막의 품질에 영향을 주지 않는 것으로 확인되었다.
<예시 4>
도 13 및 도 14에 묘사된 바와 같은 히터 블록
히터 블록의 실시예의 개략도가 도 13에서 보여진다. 또한 히터 블록의 실시예의 중심 영역의 확대된 개략도가 도 14에서 보여진다. 상기 예시에서 사용된 특정 값들이 괄호 안에 표시된다.
본 예시에서, 히터 블록의 상부 표면의 구성들은 다음과 같다:
메인 오목부들의 수 : 약 300 내지 약 1000(예: 650)의 범위에서 선택된다.
메인 오목부 직경 : 약 2mm 내지 약 20mm(예: 9.0mm)의 범위에서 선택된다.
메인 오목부 깊이 : 약 0.025mm 내지 약 15mm(예: 0.15mm)의 범위에서 선택된다.
메인 오목부 중심들 사이의 거리 : 약 5mm 내지 약 20mm(예: 11.5mm)의 범위에서 선택된다.
채널 폭 : 약 1.0 내지 약 14 mm(예: 2.0 mm)의 범위에서 선택된다.
채널 깊이 : 약 0.025 mm 내지 약 15 mm(예: 0.2 mm)의 범위에서 선택된다.
중심 오목부 크기 : 약 2.0 내지 약 17 mm(예: 9.0 mm)의 범위에서 선택된 중심 지점으로부터 최대 길이.
중심 오목부 깊이 : 약 0.025mm 내지 약 15mm 범위(예: 0.15mm)에서 선택된다.
중심 오목부의 형상 : 다각형(예: 육각형)
중앙 오목부를 정의하는 볼록부들의 외부 측벽들 윤곽의 형상 : 원형(예: 원형)
중심 오목부를 정의하는 볼록부의 외부 측벽들 윤곽의 형상의 직경 : 약 3 mm 내지 약 25 mm(예: 12 mm)의 범위에서 선택된다.
중심 오목부로부터의 채널 폭 : 약 1.0 mm 내지 약 14 mm 범위(예: 2.0 mm)로부터 선택된다.
중심 오목부로부터의 채널 깊이 : 약 0.025mm 내지 약 15mm(예: 0.2mm)의 범위로부터 선택된다.
히터 블록 재질 : 알루미늄(예: 알루미늄)
히터 블록 두께 : 약 20 mm에서 약 120 mm 범위(예: 45 mm)에서 선택된다.
히터 블록 직경 : 약 295 mm 내지 약 475 mm(예: 345 mm)의 범위에서 선택된다.
본 예시에서, 중심에서 상기 오목부 또는 함몰부는 육각형 형상을 가지며, 중심 오목부 또는 함몰부를 정의하는 볼록부들의 외부 측벽들의 윤곽은 원형 형상을 가진다. 이러한 방식으로, ATS는 육각형 형상의 중심 오목부를 갖는 원형 타겟 기판의 중심, 및 양쪽 모두 상기 타겟 기판의 중심을 나타내는 클리어 마크로서 기능하는 원형 형상의 윤곽을 검출할 수 있어서, ATS가 상기 히터 블록에서 그 중심으로부터 타겟 기판의 중심까지 상기 오프셋들을 성공적으로 측정할 수 있다. 또한, 이것은 기판 상에 형성된 막의 품질에 영향을 주지 않는 것으로 확인되었다.
본 명세서의 임의의 복수 및/또는 단수 용어의 사용과 관련하여, 통상의 기술자는 복수에서 단수로 및/또는 단수에서 복수로 번역할 수 있을 것이다.
본 개시물에 포함된 배경 기술에 대한 임의의 논의는 본 발명의 맥락을 제공하기 위한 것일 뿐이며, 논의의 일부 또는 전부가 종래 기술의 일부를 형성한다거나 또는 본 발명이 이루어진 때에 종래 기술에서 공지된 것이라고 인정하는 것으로 받아 들여서는 안 된다.
비록 본 개시물의 시스템(들) 및/또는 방법(들)이 현재 가장 실용적이고 바람직한 구현들이라고 고려되는 것에 기초하여 설명을 목적으로 상세히 설명되었지만, 이러한 상세한 설명은 단지 그 목적을 위한 것이며, 본 개시물이 개시된 구현들에 한정되지 않으며, 반대로 첨부된 청구항들의 사상 및 범위 내에서 변형들 및 등가의 배열들을 포함하도록 의도된다. 예를 들어, 본 개시는 가능한 범위 내에서 임의의 구현 예의 하나 이상의 특징이 임의의 다른 구현의 하나 이상의 특징과 결합될 수 있다는 것을 고려하는 것으로 이해되어야 한다.

Claims (21)

  1. 샤워 헤드 및 반응 챔버를 포함하는 플라즈마 퇴적 또는 플라즈마 에칭 장치에 설치되는 히터 블록으로서,
    상기 히터 블록은 기판을 지지할 수 있도록 상기 반응 챔버 내에 배치되며,
    상기 히터 블록을 통과하는 적어도 하나의 스루-홀(through-hole); 및
    상부 면 상에, 서로로부터 분리되며, 그리고 연속적인 오목 형태로 정의된 복수의 표면들;을 포함하며,
    상기 연속적인 오목 형태는,
    복수의 메인 오목부들 또는 함몰부들을 적어도 부분적으로 정의하는 복수의 제1 볼록부들;
    매 2개의 인접한 메인 오목부들 또는 함몰부들을 연결하는 오목부 채널; 및
    상기 히터 블록의 중심에서, 상기 복수의 메인 오목부들 또는 함몰부들과 다른 형상 또는 크기의 오목부 또는 함몰부를 적어도 부분적으로 정의하는 복수의 제2 볼록부들;을 포함하며,
    적어도 하나의 제1 볼록부는 적어도 하나의 제2 볼록부와 다른 형상을 갖는 것을 특징으로 하는 히터 블록.
  2. 청구항 1에 있어서,
    상기 히터 블록의 중심에서 상기 오목부 또는 함몰부는 3차원으로 보았을 때 원통 형상(cylindrical shape), 선단이 없는 역상 원추 형상(reversed cone shape without a tip) 또는 다각형 기둥 형상(polygonal columnar shape)을 가지는 것을 특징으로 하는 히터 블록.
  3. 청구항 1에 있어서,
    상기 히터 블록의 중심에서 상기 오목부 또는 함몰부는 2 밀리미터 내지 20 밀리미터의 범위에서 선택된 직경을 갖는 원통 형상을 갖는 것을 특징으로 하는 히터 블록.
  4. 청구항 1에 있어서,
    상기 히터 블록의 중심에서 상기 오목부 또는 함몰부는 상기 복수의 제2 볼록부들의 내부 측벽들에 의해 정의되며, 상기 복수의 제2 볼록부들의 외부 측벽들의 윤곽은 원형 또는 다각형 형상을 갖는 것을 특징으로 하는 히터 블록.
  5. 청구항 1에 있어서,
    상기 복수의 메인 오목부들 또는 함몰부들, 및 복수의 오목부 채널들은 상기 복수의 제1 볼록부들의 측벽들에 의해 정의되는 것을 특징으로 하는 히터 블록.
  6. 삭제
  7. 청구항 1에 있어서,
    상기 복수의 제1 및 제2 볼록부들은 가장자리들이 0.1 밀리미터 내지 2 밀리미터의 범위에서 선택된 반경을 가지며 둥글게 되거나 또는 모따기 된(rounded or chamfered) 측벽들을 갖는 것을 특징으로 하는 히터 블록.
  8. 청구항 1에 있어서,
    상기 히터 블록의 중심에서 상기 오목부 또는 함몰부는, 매 2개의 인접한 메인 오목부들 또는 함몰부들을 연결하는 상기 오목부 채널과 다른 형상 또는 크기의 오목부 채널들을 통하여 인접한 메인 오목부들 또는 함몰부들과 연결되는 것을 특징으로 하는 히터 블록.
  9. 청구항 1에 있어서,
    상기 메인 오목부들 또는 함몰부들의 각각은 동일한 형상 및 크기를 가지는 것을 특징으로 하는 히터 블록.
  10. 청구항 1에 있어서,
    상기 적어도 하나의 스루-홀은 기판 리프트 핀을 위해 사용된 적어도 하나의 스루-홀을 포함하는 것을 특징으로 하는 히터 블록.
  11. 샤워 헤드 및 반응 챔버를 포함하는 플라즈마 퇴적 또는 플라즈마 에칭 장치에 설치되는 히터 블록으로서,
    상기 히터 블록은 기판을 지지할 수 있도록 상기 반응 챔버 내에 배치되며,
    상기 히터 블록을 통과하는 적어도 하나의 스루-홀; 및
    상부 면 상에, 서로로부터 분리되며, 그리고 연속적인 오목 형태로 정의된 복수의 표면들;을 포함하며,
    상기 연속적인 오목 형태는,
    복수의 메인 오목부들 또는 함몰부들을 적어도 부분적으로 정의하는 복수의 제1 볼록부들; 및
    매 2개의 인접한 메인 오목부들 또는 함몰부들을 연결하는 오목부 채널;을 포함하며,
    상기 히터 블록의 중심에서 오목부 또는 함몰부가 복수의 제2 볼록부들의 내부 측벽들에 의해 적어도 부분적으로 정의되며, 상기 복수의 제2 볼록부들의 외부 측벽들의 윤곽은 원형 또는 다각형 형상을 가지며,
    적어도 하나의 제1 볼록부는 적어도 하나의 제2 볼록부와 다른 형상을 갖는 것을 특징으로 하는 히터 블록.
  12. 청구항 1의 상기 히터 블록을 포함하는 기판 처리 장치.
  13. 청구항 12에 있어서,
    상기 기판은 상기 복수의 제1 및 제2 볼록부들의 상기 상부 면에 의해 지지되는 것을 특징으로 하는 기판 처리 장치.
  14. 청구항 12에 있어서,
    상기 히터 블록은 서셉터 및 히터를 포함하는 것을 특징으로 하는 기판 처리 장치.
  15. 기판 처리 장치로서,
    샤워 헤드;
    반응 챔버; 및
    상기 반응 챔버에 배치된 히터 블록;을 포함하며,
    상기 히터 블록은,
    상기 히터 블록을 통과하는 적어도 하나의 스루-홀; 및
    상부 면 상에, 서로로부터 분리되며, 연속적인 오목 형태에 의해 정의된 복수의 표면들;을 포함하며,
    상기 연속적인 오목 형태는,
    복수의 메인 오목부들 또는 함몰부들을 적어도 부분적으로 정의하는 복수의 제1 볼록부들;
    매 2개의 인접한 메인 오목부들 또는 함몰부들을 연결하는 오목부 채널; 및
    상기 히터 블록의 중심에서, 상기 복수의 메인 오목부들 또는 함몰부들과 다른 형상 또는 크기의 오목부 또는 함몰부를 적어도 부분적으로 정의하는 복수의 제2 볼록부들;을 포함하며,
    적어도 하나의 제1 볼록부는 적어도 하나의 제2 볼록부와 다른 형상을 갖는, 기판 처리 장치.
  16. 청구항 15에 있어서,
    상기 히터 블록의 중심에서 상기 오목부 또는 함몰부는 3차원으로 보았을 때 원통 형상, 선단이 없는 역상 원추 형상 또는 다각형 기둥 형상을 가지는 것을 특징으로 하는 기판 처리 장치.
  17. 청구항 15에 있어서,
    상기 히터 블록의 중심에서 상기 오목부 또는 함몰부는 2 밀리미터 내지 20 밀리미터의 범위에서 선택된 직경을 갖는 원통 형상을 갖는 것을 특징으로 하는 기판 처리 장치.
  18. 청구항 15에 있어서,
    상기 히터 블록의 중심에서 상기 오목부 또는 함몰부는 상기 복수의 제2 볼록부들의 내부 측벽들에 의해 정의되며, 상기 복수의 제2 볼록부들의 외부 측벽들의 윤곽은 원형 또는 다각형 형상을 갖는 것을 특징으로 하는 기판 처리 장치.
  19. 청구항 15에 있어서,
    상기 복수의 메인 오목부들 또는 함몰부들, 및 복수의 상기 오목부 채널들은 상기 복수의 제1 볼록부들의 측벽들에 의해 정의되는 것을 특징으로 하는 기판 처리 장치.
  20. 청구항 15에 있어서,
    상기 기판 처리 장치는 기판 상에 물질을 퇴적하거나 에칭하도록 구성된 것을 특징으로 하는 기판 처리 장치.
  21. 청구항 15에 있어서,
    상기 히터 블록의 중심에서 상기 오목부 또는 함몰부는, 매 2개의 인접한 메인 오목부들 또는 함몰부들을 연결하는 상기 오목부 채널과 다른 형상 또는 크기의 오목부 채널들을 통하여 인접한 메인 오목부들 또는 함몰부들과 연결되는 것을 특징으로 하는 기판 처리 장치.
KR1020180065844A 2017-06-12 2018-06-08 연속적인 오목 형태를 갖는 히터 블록 KR102514109B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/620,454 US10246777B2 (en) 2017-06-12 2017-06-12 Heater block having continuous concavity
US15/620,454 2017-06-12

Publications (2)

Publication Number Publication Date
KR20180135413A KR20180135413A (ko) 2018-12-20
KR102514109B1 true KR102514109B1 (ko) 2023-03-24

Family

ID=64563261

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180065844A KR102514109B1 (ko) 2017-06-12 2018-06-08 연속적인 오목 형태를 갖는 히터 블록

Country Status (4)

Country Link
US (1) US10246777B2 (ko)
KR (1) KR102514109B1 (ko)
CN (1) CN109037017A (ko)
TW (1) TWI761443B (ko)

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
KR20230073144A (ko) * 2020-09-28 2023-05-25 램 리써치 코포레이션 트루 라디칼 (true radical) 프로세싱을 위한 리모트 플라즈마 아키텍처
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11832520B2 (en) * 2021-04-27 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Voltage breakdown uniformity in piezoelectric structure for piezoelectric devices
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1009816S1 (en) * 2021-08-29 2024-01-02 Applied Materials, Inc. Collimator for a physical vapor deposition chamber
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002237375A (ja) 2000-12-05 2002-08-23 Ibiden Co Ltd 半導体製造・検査装置用セラミック基板およびその製造方法
US20090239385A1 (en) * 2008-03-19 2009-09-24 Asm Japan K.K. Substrate-supporting device having continuous concavity

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
KR20060124441A (ko) * 2005-05-31 2006-12-05 삼성전자주식회사 모듈 히터가 구비된 반도체 제조장치
JP5069452B2 (ja) * 2006-04-27 2012-11-07 アプライド マテリアルズ インコーポレイテッド 二重温度帯を有する静電チャックをもつ基板支持体
JP2008108703A (ja) * 2006-09-28 2008-05-08 Covalent Materials Corp 面状ヒータ及びこのヒータを備えた半導体熱処理装置
USD643055S1 (en) 2008-09-11 2011-08-09 Asm Japan K.K. Heater block for use in a semiconductor processing tool
US8941969B2 (en) * 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9469912B2 (en) * 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
JP5962833B2 (ja) * 2015-01-16 2016-08-03 Toto株式会社 静電チャック

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002237375A (ja) 2000-12-05 2002-08-23 Ibiden Co Ltd 半導体製造・検査装置用セラミック基板およびその製造方法
US20090239385A1 (en) * 2008-03-19 2009-09-24 Asm Japan K.K. Substrate-supporting device having continuous concavity

Also Published As

Publication number Publication date
US20180355480A1 (en) 2018-12-13
CN109037017A (zh) 2018-12-18
TWI761443B (zh) 2022-04-21
US10246777B2 (en) 2019-04-02
KR20180135413A (ko) 2018-12-20
TW201903933A (zh) 2019-01-16

Similar Documents

Publication Publication Date Title
KR102514109B1 (ko) 연속적인 오목 형태를 갖는 히터 블록
KR102403103B1 (ko) 퇴적 억제 가스를 배출하기 위한 샤워 플레이트 구조물
US20210363637A1 (en) Susceptor with ring to limit backside deposition
KR200454710Y1 (ko) 샤워헤드 전극
JP3162880U (ja) センタリング機能を備えるシャワーヘッド電極
TWI570890B (zh) 製造具有三維結構之記憶元件的方法與設備
JP6697558B2 (ja) 半導体ウェハをエピタキシャル被覆するための方法
US20150252475A1 (en) Cvd apparatus with gas delivery ring
KR102004587B1 (ko) 기판의 에칭장치 및 기판의 분석방법
US20170175265A1 (en) Flat susceptor with grooves for minimizing temperature profile across a substrate
CN211045385U (zh) 基座
TW201911377A (zh) 加工設備
TWI628734B (zh) 用於改良式磊晶晶圓平坦度之基座及用於製造半導體晶圓處理裝置之方法
JP2021502696A (ja) 両面処理のためのパターニングされたチャック
CN111118474A (zh) 化学气相沉积设备及导流盘
EP3078762B1 (en) Susceptor, vapor deposition apparatus and vapor deposition method
JP6194515B2 (ja) サファイア基板の製造方法およびiii族窒化物半導体発光素子の製造方法
TWI817102B (zh) 具有局部化的流動控制的面板
US20200051790A1 (en) Pressure control ring, plasma processing apparatus including the same and method of manufacturing semiconductor device
JP6485270B2 (ja) プラズマ処理装置用電極板
KR102337411B1 (ko) 증착 장치
KR20160075153A (ko) 서셉터 및 이를 구비한 기판처리장치
JP2024501866A (ja) 半導体ウエハリアクタ中の輻射熱キャップのためのシステムと方法
US20110247559A1 (en) Gas distribution shower module and film deposition apparatus
JP2012142445A (ja) プラズマcvd装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant