CN109037017A - 具有连续凹腔的加热器块 - Google Patents

具有连续凹腔的加热器块 Download PDF

Info

Publication number
CN109037017A
CN109037017A CN201711499227.5A CN201711499227A CN109037017A CN 109037017 A CN109037017 A CN 109037017A CN 201711499227 A CN201711499227 A CN 201711499227A CN 109037017 A CN109037017 A CN 109037017A
Authority
CN
China
Prior art keywords
concavity
heater block
recessed portion
shape
center
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201711499227.5A
Other languages
English (en)
Inventor
近藤裕志
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of CN109037017A publication Critical patent/CN109037017A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明公开一种适于安装在包含喷淋头和反应室的等离子体沉积或等离子体蚀刻设备中的加热器块,所述加热器块适于布置在所述反应室中以支撑衬底,并且包含:至少一个通孔,所述至少一个通孔通过所述加热器块;在其上表面上的多个表面,所述多个表面彼此分离并且由连续凹腔限定,并且所述连续凹腔包括:多个主凹状部或凹陷部;多个凹状通道,所述多个凹状通道实质上连接每两个相邻的主凹状部或凹陷部;和在所述加热器块的中心处的凹状部或凹陷部,所述凹状部或凹陷部具有与所述多个主凹状部或凹陷部不同的形状或尺寸。

Description

具有连续凹腔的加热器块
发明领域
本说明书总体涉及一种用于在半导体制造工艺中使用的设备,特别是用在等离子体沉积或蚀刻设备中的加热器块或工件支撑件。
背景技术
作为半导体制造工艺的一部分,等离子体增强化学气相沉积(PECVD)和等离子体增强原子层沉积(PEALD)工艺通常被用于在位于室中的加热器块或工件支撑件上的工件(例如,半导体衬底)的图案化表面上沉积膜。这些工艺通常通过将前驱气体或气体混合物引入到含纳加热器块或工件支撑件上的工件的室中来完成。前驱气体或气体混合物通常经由位于室的顶部周围的喷淋板向下引导。
发明内容
在这样的工艺中,使用所述加热器块或工件支撑件来支撑衬底,并且可以对其表面进行处理以添加图案(例如,连续的凹状部和凸状部),以使得凸状部支撑所述衬底并且凹状部不接触所述衬底以使得所述图案起到避免异物附着到所述衬底的背面或防止所述衬底粘附到所述加热器块或工件支撑件的作用。
传统上,衬底在加热器块或工件支撑件上的位置的确定通常由人类手动完成。然而,近年来,对衬底的位置的自动识别的技术已经改进,并且检测所述衬底的中心的位置对于实现用于衬底转移目的的衬底位置的自动识别已经变得重要。
图1示出了示出其上表面的加热器块11的概览。在图1中,多个圆柱形形状的凹状部2设置在加热器块11的衬底支撑区17的上表面上。图2示出了加热器块11的上表面的一部分的横截面A-A'的放大图。相邻的凹状部2的中心之间的距离大致恒定。图1中所示的加热器块11在衬底支撑表面6上具有多个凹坑状的凹状部2,并且衬底支撑表面6是连续的平面。用于衬底支撑销4的通孔与凹状部2的图案无关地布置。
当图1的这种加热器块与衬底的位置的自动识别的技术一起使用时,发现由于加热器块11的上表面具有凹状部2的类似图案,自动识别传感器难以准确地测量或检测放置在加热器块11的上表面上的目标衬底的中心点的位置。
本发明的实施例的目的是提供一种适于安装在包括喷淋头、加热器块和反应室的等离子体沉积或等离子体蚀刻设备中的加热器块,所述加热器块适于布置在所述反应室中以支撑衬底,并且包括:至少一个通孔,所述至少一个通孔穿过所述加热器块;和在其上表面上的多个表面,所述多个表面彼此分离并且由连续凹腔限定;并且所述连续凹腔包括:多个主凹状部或凹陷部;多个凹状通道,所述多个凹状通道实质上连接每两个相邻的主凹状部或凹陷部;和在所述加热器块的中心处的凹状部或凹陷部,所述凹状部或凹陷部具有与所述多个主凹状部或凹陷部不同的形状或尺寸。
在一方面中,加热器块适于安装在包括喷淋头、加热器块和反应室的等离子体沉积或等离子体蚀刻设备中,所述加热器块适于布置在所述反应室中以支撑衬底并且包括:至少一个通孔,所述至少一个通孔穿过所述加热器块;和在其上表面上的多个表面,所述多个表面彼此分离并且由连续凹腔限定;并且所述连续凹腔包括:多个主凹状部或凹陷部;多个凹状通道,所述多个凹状通道实质上连接每两个相邻的主凹状部或凹陷部,其中在所述加热器块的中心处的凹状部或凹陷部由多个凸状部的内侧壁限定,并且所述多个凸状部的外侧壁的轮廓具有圆形或多边形形状。
在实施例中,提供了一种衬底处理设备,所述衬底处理设备包括适于安装在包括喷淋头、加热器块和反应室的等离子体沉积或等离子体蚀刻设备中的加热器块,所述加热器块适于布置在所述反应室中以支撑衬底,并且包括:至少一个通孔,所述至少一个通孔穿过所述加热器块;在其上表面上的多个表面,所述多个表面彼此分离并且由连续凹腔限定;并且所述连续凹腔包括:多个主凹状部或凹陷部;多个凹状通道,所述多个凹状通道实质上连接每两个相邻的主凹状部或凹陷部;和在所述加热器块的中心处的凹状部或凹陷部,所述凹状部或凹陷部具有与所述多个主凹状部或凹陷部不同的形状或尺寸
在实施例中,提供了一种处理设备,包括:喷淋头;反应室;和加热器块,所述加热器块布置在所述反应室中,所述加热器块包括:至少一个通孔,所述至少一个通孔穿过所述加热器块;在其上表面上的多个表面,所述多个表面彼此分离并且由连续凹腔限定;并且所述连续凹腔包括:多个主凹状部或凹陷部;多个凹状通道,所述多个凹状通道实质上连接每两个相邻的主凹状部或凹陷部;和在所述加热器块的中心处的凹状部或凹陷部,所述凹状部或凹陷部具有与所述多个主凹状部或凹陷部不同的形状或尺寸。
为了总结本发明的各方面和相对于现有技术实现的一个或多个优点,在本公开中描述了某些目的和优点。当然,应该理解的是,根据任何特定实施例,未必能够实现所有或任何这样的目的或优点。因此,举例来说,本领域技术人员将认识到,本发明可以以实现或优化如本文所教导的一个优点或一组优点的方式来体现或执行,而不必实现如本文中教导或建议的一个或多个其它目的或优点。依据下面的详细描述,本发明的其它方面、特征和优点将变得显而易见。
在参考附图考虑到下面的描述和所附的权利要求书之后,本文中所公开的系统和/或方法的这些和其它目的、特征和特点以及结构的相关元件和部件组合的操作方法和功能、制造的经济性将变得更加显而易见,所有的这些描述以及权利要求和附图形成本说明书的一部分,其中,类似的附图标记指明各个附图中的相应零件。然而,要明确理解的是,附图仅出于图示和描述的目的,而不旨在作为对本发明的限制的定义。除非上下文另有明确说明,否则在说明书和权利要求中使用的单数形式“一”、“一个”和“所述”包括复数指代。
附图说明
现在将参考意在图示而不是限制本发明的实施例的附图来描述本发明的这些和其它特征。出于图示的目的,附图过于简化,并且未必按比例绘制。
图1是示出上表面的加热器块的示意图。
图2是示出了多个凹状部和衬底支撑表面的图1的加热器块的一部分的局部放大示意图。
图3是包括根据实施例的加热器块的PEALD设备的示意图。
图4是根据实施例的示出上表面的加热器块的示意图。
图5是示出了多个主凹状部和衬底支撑表面的根据实施例的加热器块的局部放大示意图。
图6是根据实施例的加热器块的中心区域的局部放大示意图。
图7是根据实施例的加热器块的示意图。
图8是根据实施例的加热器块的中心区域的局部放大示意图。
图9是根据实施例的加热器块的示意图。
图10是根据实施例的加热器块的中心区域的局部放大示意图。
图11是根据实施例的加热器块的示意图。
图12是根据实施例的加热器块的中心区域的局部放大示意图。
图13是根据实施例的加热器块的示意图。
图14是根据一个实施例的加热器块的中心区域的局部放大示意图。
具体实施方式
本发明包含但不限于以下实施例:
本发明的实施例通常应用于用于执行半导体制造工艺的设备的加热器块、工件支撑件或衬底支撑装置(下文中称为“加热器块”)。作为这样的制造工艺的示例,解释了等离子体增强ALD(PEALD)工艺,以更好地理解如何使用设备来沉积膜以及如何在设备中使用加热器块。不用说,加热器块可以可替选地或附加地用于执行PECVD工艺的设备。此外,本发明的实施例并不意在限于在执行PEALD和PECVD工艺的设备中或与其一起使用。
在PEALD工艺中,将工件(例如,衬底,例如半导体晶片)放置在反应室中并且经受交替地重复表面反应。通过重复自限性ALD循环形成薄的SiN膜。理想地,为了形成SiN膜,每一个ALD循环包括至少两个相异的阶段。从反应空间提供和除去反应物可被认为是一个阶段。在第一阶段中,包括硅的第一反应物被提供并且在所述工件表面上形成不超过大约一个单层。这个反应物在本文中也被称为“硅前体”、“含硅前体”、“含卤硅前体”或“硅反应物”,并且可以是举例来说H2SiI2、(SiI2)(NH2)2、(SiI2)(NHMe)2、(SiI2)(NHEt)2、(SiI2)(NHiPr)2、(SiI2)(NHtBu)2、(SiI2)(NMe2)2、(SiI2)(NMeEt)2、(SiI2)(NMeiPr)2、(SiI2)(NMetBu)2、(SiI2)(NEt2)2、(SiI2)(NEtiPr)2、(SiI2)(NEttBu)2、(SiI2)(NiPr2)2、(SiI2)(NiPrtBu)2和(SiI2)(NtBu)2
在第二阶段中,包括反应性物种的第二反应物被提供并且可以将所吸附的硅转化成氮化硅。所述第二反应物可以包括氮前体。反应性物种可以包括激发态物种。来自惰性气体的这些反应性物种不一定对沉积膜贡献材料,但是在一些情况下可以有助于膜生长以及帮助形成和引燃等离子体。在一些实施例中,被用于形成等离子体的气体可以在整个沉积工艺中恒定地流动,但是只能被间歇地激活。
可根据需要添加或去除附加的阶段以调节最终膜的成分。反应物中的一个或多个可以借助于运载气体(例如,Ar或He)来提供。所述硅前体和所述第二反应物借助于运载气体来提供。阶段中的两个可能会重叠或组合。举例来说,可以以部分或完全重叠的脉冲同时提供所述硅前体和所述第二反应物。另外,尽管称为第一和第二阶段以及第一和第二反应物,但是阶段的顺序可以变化,并且ALD循环可以以阶段中的任何一个开始。也就是说,除非另有规定,否则所述反应物可以以任何顺序提供,并且所述工艺可以以任何反应物开始。
接下来,下面详细解释了等离子体沉积设备的示例性实施例的配置。
作为等离子体沉积设备的示例,图3示出了根据实施例的PEALD设备1的示意图。如图3中所示,PEALD设备1包括至少部分地由室壁19限定的真空(反应)室10、设置在室10的顶部的喷淋头12(或喷淋板12)以及围绕喷淋头12设置的绝缘体34(在一个实施例中,绝缘体34形成电极)。加热器块(或工件支撑件,衬底支撑装置)5实质上平行于喷淋头12设置在室10内部。RF功率源7和8连接到附接到喷淋头12的气体管道。室10在其侧部部分处具有带有排气阀(未示出)的开口并且包括连接到排气泵(未示出)的排气管道(未示出)。另外,室10接地并且通过绝缘体34与喷淋头12绝缘。室10还在内侧壁上具有带有闸门阀(未示出)的开口以用于工件转移。
喷淋头12和防护板13借助于一个或多个螺钉25彼此固定,并且O形环14设置在喷淋头12与防护板13之间。在喷淋头12中,如图3中所图示的许多气体出口孔隙21(在喷淋头12的区域20(例如,圆形区域)内的孔或孔口)被形成为使得从气体入口端口引入的源气体的射流从孔隙朝向加热器块5发射。加热器块5由承受器(susceptor)50和在加热器块5的下端处附接到承受器50的加热器51构成。承受器50被设置成与喷淋头12实质上平行,并且被用于支撑放置在其上表面上的衬底16。
衬底的位置的自动识别或检测可以使用ATS(自动教学系统)(例如WaferSenseTMATS)来执行。ATS传感器可以放置在升高的转移销上以使用发光二极管获得加热器块上的目标衬底的图像。ATS具有识别圆形目标衬底的优点,并且可以分析所获得的图像并且测量从其自身的几何中心到目标的中心的X-Y-Z偏移。然后,ATS可以将那些图像传输到计算机,并且在其屏幕上显示那些图像。ATS可以提供实时和准确的偏移测量。为了检测目标衬底的偏移,提供了清楚的标记,所述标记示出加热器块的中心以使得ATS可以测量从其中心到在加热器块上的目标衬底的中心的偏移。确保这不影响形成在衬底上的膜的质量也是重要的。
在一个实施例中,加热器块适于安装在包括喷淋头、加热器块和反应室的等离子体沉积或等离子体蚀刻设备中,加热器块适于布置在反应室中以支撑衬底并且包括:至少一个通孔,所述至少一个通孔穿过加热器块;和在其上表面上的多个表面,所述多个表面彼此分离并且由连续凹腔限定;并且所述连续凹腔包括:多个主凹状部或凹陷部;多个凹状通道,所述多个凹状通道实质上连接每两个相邻的主凹状部或凹陷部;和在所述加热器块的中心处的凹状部或凹陷部,所述凹状部或凹陷部具有与所述多个主凹状部或凹陷部不同的形状或尺寸。
在一个实施例中,在所述加热器块的中心处的凹状部或凹陷部具有与多个主凹状部或凹陷部不同的形状或尺寸,并且当以三维方式观察时,在加热器块的中心处的凹状部或凹陷部具有圆柱形形状、没有尖端的倒锥形形状或多边柱状形状。在一个实施例中,在加热器块的中心处的凹状部或凹陷部具有带有在大约2毫米与大约20毫米之间的直径的圆柱形形状。
在一个实施例中,在加热器块的中心处的凹状部或凹陷部由多个凸状部的内侧壁限定,并且多个凸状部的外侧壁的轮廓具有圆形或多边形形状。在一个实施例中,多个主凹状部或凹陷部和实质上连接每两个相邻的主凹状部或凹陷部的多个凹状通道由多个凸状部的侧壁限定。在一个实施例中,限定在加热器块的中心处的凹状部或凹陷部的多个凸状部具有与限定多个主凹状部或凹陷部和实质上连接每两个相邻的主凹状部或凹陷部的多个凹状通道的多个凸状部不同的形状。
在一个实施例中,限定在加热器块的中心处的凹状部或凹陷部的多个凸状部具有与限定多个主凹状部或凹陷部和实质上连接每两个相邻的主凹状部或凹陷部的多个凹状通道的多个凸状部不同的形状,并且所述多个凸状部两者都具有侧壁,所述侧壁的边缘被以大约0.1毫米与大约2毫米之间的半径圆化或倒角。如果多个凸状部的侧壁的边缘可以是圆化或倒角的,这意味着能够在一个金属加工工艺中形成每一个凸状部,从而实质上减少了毛刺在边缘处的形成。发现具有这样的凸状部的加热器块可以帮助改进在衬底上形成的膜的质量。
在一个实施例中,在加热器块的中心处的凹状部或凹陷部经由与实质上连接每两个相邻的主凹状部或凹陷部的多个凹状通道不同的形状或尺寸的凹状通道与相邻的主凹状部连接。在一个实施例中,主凹状部或凹陷部中的每一个具有实质上相同的形状和尺寸。在一个实施例中,至少一个通孔包含被用于衬底升降销的至少一个通孔。
在一个实施例中,加热器块适于安装在包括喷淋头、加热器块和反应室的等离子体沉积或等离子体蚀刻设备中,加热器块适于布置在反应室中以支撑衬底并且包括:至少一个通孔,所述至少一个通孔穿过所述加热器块;和在其上表面上的多个表面,所述多个表面彼此分离并且由连续凹腔限定;并且所述连续凹腔包括:多个主凹状部或凹陷部;多个凹状通道,所述多个凹状通道实质上连接每两个相邻的主凹状部或凹陷部,其中在加热器块的中心处的凹状部或凹陷部由多个凸状部的内侧壁限定,并且多个凸状部的外侧壁的轮廓具有圆形或多边形形状。在一个实施例中,在加热器块的中心处的凹状部或凹陷部经由与实质上连接每两个相邻的主凹状部或凹陷部的多个凹状通道不同的形状或尺寸的凹状通道与相邻的主凹状部连接。
在一个实施例中,加热器块适于安装在包括喷淋头、加热器块和反应室的等离子体沉积或等离子体蚀刻设备中,加热器块适于布置在反应室中以支撑衬底并且包括:至少一个通孔,所述至少一个通孔穿过所述加热器块;和在其上表面上的多个表面,所述多个表面彼此分离并且由连续凹腔限定;并且所述连续凹腔包括:多个主凹状部或凹陷部;多个凹状通道,所述多个凹状通道实质上连接每两个相邻的主凹状部或凹陷部,其中在加热器块的中心处的凹状部或凹陷部由多个凸状部的内侧壁限定,并且多个凸状部的外侧壁的轮廓具有圆形或多边形形状。
在一个实施例中,衬底处理设备包括如本文中所述的加热器块。在一个实施例中,衬底处理设备包括如本文中所述的加热器块,其中多个主凹状部或凹陷部、实质上连接每两个相邻的主凹状部或凹陷部的多个凹状通道和具有与多个主凹状部或凹陷部不同的形状或尺寸的在加热器块的中心处的凹状部或凹陷部由多个凸状部限定,并且衬底实质上由多个凸状部的顶表面支撑。在一个实施例中,衬底处理设备包括如本文中所述的加热器块,其中加热器块包括承受器和加热器。
在一个实施例中,处理设备包括:喷淋头;反应室;和加热器块,所述加热器块布置在反应室中,加热器块包括:至少一个通孔,所述至少一个通孔穿过所述加热器块;和在其上表面上的多个表面,所述多个表面彼此分离并且由连续凹腔限定;并且所述连续凹腔包括:多个主凹状部或凹陷部;多个凹状通道,所述多个凹状通道实质上连接每两个相邻的主凹状部或凹陷部;在所述加热器块的中心处的凹状部或凹陷部,所述凹状部或凹陷部具有与所述多个主凹状部或凹陷部不同的形状或尺寸。在一个实施例中,前述处理设备包括加热器块,当以三维方式观察时,其在加热器块的中心处的凹状部或凹陷部具有圆柱形形状、没有尖端的倒锥形形状或多边柱状形状。在一个实施例中,前述处理设备包括加热器块,其在加热器块的中心处的凹状部或凹陷部具有带有在大约2毫米与大约20毫米之间的直径的圆柱形形状。
在一个实施例中,加热器块的外周边被有意地以使得加热器块的顶表面始终接触衬底或晶片的方式成形。可以使已经添加了规则的凹状图案的加热器块区域小于晶片区域。
通过采用前述的加热器块,在衬底与加热器块表面之间的气体(存在于衬底背面下方的气体)可以沿着上述凹状结构移动,并且当在反应室中的压力或气体流速改变时流动穿过通孔。结果,在形成膜时或者在此后的净化循环期间,当处理条件改变时,加热器块可以最小化由于在加热器块的表面上方的滑动所致的不均匀膜厚度的形成的发生。
在实施例中,凹状部具有圆柱形形状、没有尖端的倒锥形形状或多边柱状形状。除了圆形之外,它的横截面形状还可以是三角形、正方形、五边形、六边形或其它多边形或者甚至椭圆形。在实施例中,凹状部具有梯形形状。理想地,主凹状部应该具有相同的尺寸和形状,并且大致均匀地分布在加热器块的整个顶表面上。连接这些凹状部的通道(或凹状通道)只需要连结和连接凹状部,并且除了正方形之外,还可以具有任何纵向横截面形状,例如,倒三角形、圆形或椭圆形。通道的深度与实施例中的凹状部大约相同,但通道可以比凹状部浅。在实施例中,通道的宽度小于凹状部的直径。然而,使通道太浅会增加排空传导性(排空阻力),从而阻碍气体的充分排空并且妨碍实现预期的防滑效果。
在任何前述实施例中,主凹状部或凹陷部中的每一个可以具有实质上相同的形状和尺寸。在实施例中,凹陷通道或凹状通道的可以具有小于主凹状部或凹陷部的直径的宽度(例如,小于70%)。在另一个实施例中,凹陷通道可以具有与主凹状部或凹陷部的直径几乎相同的宽度。在实施例中,凹陷通道或凹状通道可以具有有效增强凹腔中的气体流动的深度(排空传导性有效地低)。在实施例中,深度可以与主凹状部或凹陷部的深度实质上相同或者小于主凹状部或凹陷部的深度(例如,小于70%)。
图4示出了根据一个实施例的加热器块的示意图。在图4中,凹状部与通道连接,并且然后与凹状部连接,并且孔被形成在周围以供晶片支撑销穿过衬底支撑装置。换句话说,图4中所示的加热器块11在衬底支撑区域17中具有许多像图1所示的凹状部(主凹状部)2,并且将三个相邻的凹状部的中心连接形成正三角形。而且,将与给定凹状部相邻的六个凹状部的中心连接形成正六边形。每一个凹状部2通过通道3连结,并且通道3的纵向横截面是矩形。图5示出了图4的虚线圆部分的放大视图以及加热器块11的截面B-B'的放大图。通道3使得衬底支撑表面(凸状部)6成为不连续的平面,或者成为由其边界由通道3和凹状部2限定的凸状部组成的一组隔离的表面。另一方面,凹状部2也形成包括由通道3连接的凹状部的连续的凹状结构。图4中示出的加热器块具有连接到用于衬底支撑销4的通孔的单个连续的凹状结构。这样,当衬底表面条件改变时,陷于凹状部内部的气体可以通过连接凹状部的通道从由衬底背面和加热器块构成的封闭空间排出。结果,可以防止衬底在加热器块上的滑动。
图6示出了加热器块的上表面的中心区域的放大透视图。还如图4中可见,中心凹状部15被形成并且通过通道3与相邻的主凹状部2连接。主凹状部2由多个凸状部6的内侧壁限定。中心凹状部15由多个凸状部9的内侧壁限定。中心凹状部15经由通过与实质上连接每两个相邻的主凹状部3的多个凹状通道3不同的形状或尺寸的凹状通道18与相邻的主凹状部3连接。凸状部9具有与凸状部6的横截面形状或尺寸不同的横截面形状或尺寸,并且凸状部9的外侧壁的轮廓形成实质上圆形的形状,而凸状部6的外侧壁不呈现这样的形状。由于凸状部9的形状,相邻的凸状部6形成部分圆形。
在(中心凹状部15和凸状部9的外侧壁的轮廓的)这些相异形状形成在加热器块的中心处的情况下,ATS可以识别加热器块的中心,并且检测圆形目标衬底的中心,以使得ATS可以成功地测量从其中心到加热器块上的目标衬底的中心的偏移。
而且,通过采用加热器块表面配置,当反应室中的压力或气体流动速率改变时,在衬底与加热器块表面之间的气体(存在于衬底背面下方的气体)仍然可以沿着前述凹状表面移动并且流动穿过通孔。
将参考不旨在限制本发明的具体示例来详细解释本发明的实施例。在具体示例中应用的数值可以被修改至少±50%的范围,其中可以包括或排除所述范围的端点。
示例
现在将参考以下实施例来解释本发明的实施例,所述实施例并不意在限制本发明的范围。
示例1
如图7和图8中所描绘的加热器块
图7中示出了加热器块的实施例的示意图。而且,图8中示出了加热器块的实施例的中心区域的放大示意图。在括号内示出了所述示例中所使用的具体值。
在这个示例中,加热器块的上表面的配置如下:
主凹状部的数量:选自大约300到大约1000的范围(示例:650)
主凹状部直径:选自大约2mm到大约20mm的范围(示例:9.0mm)
主凹状部深度:选自大约0.025mm到大约15mm的范围(示例:0.15mm)
主凹状部中心之间的距离:选自大约5mm到大约20mm的范围(示例:11.5mm)
通道宽度:选自大约1.0mm到大约14mm的范围(示例:2.0mm)
通道深度:选自大约0.025mm到大约15mm的范围(示例:0.2mm)
中心凹状部直径:选自大约2.0mm到大约17mm的范围(示例:9.0mm)
中心凹状部深度:选自大约0.025mm到大约15mm的范围(示例:0.15mm)
中心凹状部的形状:圆形(示例:圆形)
限定中心凹状部的凸状部的外侧壁的轮廓的形状:圆形(示例:圆形)
限定中心凹状部的凸状部的外侧壁的轮廓的形状的直径:选自大约3mm到大约25mm的范围(示例:13mm)
来自中心凹状部的通道的宽度:选自大约1.0mm到大约14mm的范围(示例:2.0mm)
来自中心凹状部的通道的深度:选自大约0.025mm到大约15mm的范围(示例:0.2mm)
加热器块材料:铝(示例:铝)
加热器块厚度:选自大约20mm到大约120mm的范围(示例:33mm)
加热器块直径:选自大约295mm到大约475mm的范围(示例:345mm)
在这个示例中,在中心处的凹状部或凹陷部具有圆形形状,并且限定中心凹状部或凹陷部的凸状部的外侧壁的轮廓也具有圆形形状。通过这种方式,ATS可以检测具有中心凹状部和圆形形状轮廓的圆形目标衬底的中心,所述圆形形状轮廓用作示出目标衬底的中心的清晰标记,以使得ATS可以成功地测量从其中心到加热器块上的目标衬底的中心的偏移。也证实这不影响形成在衬底上的膜的质量。
示例2
如图9和图10中所描绘的加热器块
在括号内示出了所述示例中所使用的具体值。.图9中示出了加热器块的实施例的示意图。而且,图10中示出了加热器块的实施例的中心区域的放大示意图。在括号内示出了所述示例中所使用的具体值。
在这个示例中,加热器块的上表面的配置如下:
主凹状部的数量:选自大约300到大约1000的范围(示例:650)
主凹状部直径:选自大约2mm到大约20mm的范围(示例:9.0mm)
主凹状部深度:选自大约0.025mm到大约15mm的范围(示例:0.15mm)
主凹状部中心之间的距离:选自大约5mm到大约20mm的范围(示例:11.5mm)
通道宽度::选自大约1.0mm到大约14mm的范围(示例:2.0mm)
通道深度:选自大约0.025mm到大约15mm的范围(示例:0.2mm)
中心凹状部直径:选自大约2.0mm到大约17mm的范围(示例:9.0mm)
中心凹状部深度:选自大约0.025mm到大约15mm的范围(示例:0.15mm)
中心凹状部的形状:圆形(示例:圆形)
限定中心凹状部的凸状部的外侧壁的轮廓的形状:多边形(示例:六边形)
限定中心凹状部的凸状部的外侧壁的轮廓的形状的大小:选自大约3mm到大约25mm的范围(示例:12mm)
来自中心凹状部的通道的宽度:选自大约1.0mm到大约14mm的范围(示例:2.0mm)
来自中心凹状部的通道的深度:选自大约0.025mm到大约15mm的范围(示例:0.2mm)
加热器块材料:铝(示例:铝)
加热器块厚度:选自大约20mm到大约120mm的范围(示例:45mm)
加热器块直径:选自大约295mm到大约475mm的范围(示例:345mm)
在这个示例中,在中心处的凹状部或凹陷部具有圆形形状,并且限定中心凹状部或凹陷部的凸状部的外侧壁的轮廓具有六边形形状。通过这种方式,ATS可以检测具有圆形形状的中心凹状部和六边形形状的轮廓的圆形目标衬底的中心,所述六边形形状的轮廓用作示出目标衬底的中心的清晰标记,以使得ATS可以成功地测量从其中心到加热器块上的目标衬底的中心的偏移。也证实这不影响形成在衬底上的膜的质量。
示例3
如图11和图12所示的加热器块
在括号内示出了所述示例中所使用的具体值。图11中示出了加热器块的实施例的示意图。而且,图12中示出了加热器块的实施例的中心区域的放大示意图。在括号内示出了所述示例中所使用的具体值。
在这个示例中,加热器块的上表面的配置如下:
主凹状部的数量:选自大约300到大约1000的范围(示例:650)
主凹状部直径:选自大约2mm到大约20mm的范围(示例:9.0mm)(示例:9.0mm)
主凹状部深度:选自大约0.025mm到大约15mm的范围(示例:0.15mm)
主凹状部中心之间的距离:选自大约5mm到大约20mm的范围(示例:11.5mm)
通道宽度:选自大约1.0mm到大约14mm的范围(示例:2.0mm)
通道深度:选自大约0.025mm到大约15mm的范围(示例:0.2mm)
中心凹状部直径:选自大约2.0到大约20mm的范围(示例:9.0mm)
中心凹状部深度:选自大约0.025mm到大约15mm的范围(示例:0.15mm)
中心凹状部的形状:圆形(示例:圆形)
来自中心凹状部的通道的宽度:选自大约1.0mm到大约14mm的范围(示例:2.0mm)
来自中心凹状部的通道的深度:选自大约0.025mm到大约15mm的范围(示例:0.2mm)
加热器块材料:铝(示例:铝)
加热器块厚度:选自大约20mm到大约120mm的范围(示例:45mm)
加热器块直径:选自大约295mm到大约475mm的范围(示例:345mm)
在这个示例中,在中心处的凹状部或凹陷部具有比主凹状部或凹陷部的直径更小的直径的圆形形状。通过这种方式,ATS可以检测具有较小圆形形状的中心凹状部的圆形目标衬底的中心,所述具有较小圆形形状的中心凹状部用作示出目标衬底的中心的清晰标记,以使得ATS可以成功地测量从其中心到加热器块上的目标衬底的中心的偏移。也证实这不影响形成在衬底上的膜的质量。
示例4
如图13和图14所示的加热器块
图13中示出了加热器块的实施例的示意图。而且,图14中示出了加热器块的实施例的中心区域的放大示意图。在括号内示出了所述示例中所使用的具体值。
在这个示例中,加热器块的上表面的配置如下:
主凹状部的数量:选自大约300到大约1000的范围(示例:650)
主凹状部直径:选自大约2mm到大约20mm的范围(示例:9.0mm)(示例:9.0mm)
主凹状部深度:选自大约0.025mm到大约15mm的范围(示例:0.15mm)
主凹状部中心之间的距离:选自大约5mm到大约20mm的范围(示例:11.5mm)
通道宽度:选自大约1.0mm到大约14mm的范围(示例:2.0mm)
通道深度:选自大约0.025mm到大约15mm的范围(示例:0.2mm)
中心凹状部尺寸:距选自大约2.0mm到大约17mm的范围(示例:9.0mm)的中心点的最大长度,
中心凹状部深度:选自大约0.025mm到大约15mm的范围(示例:0.15mm)
中心凹状部的形状:多边形(示例:六边形)
限定中心凹状部的凸状部的外侧壁的轮廓的形状:圆形(示例:圆形)
限定中心凹状部的凸状部的外侧壁的轮廓的形状的直径:选自大约3mm到大约25mm的范围(示例:12mm)
来自中心凹状部的通道的宽度:选自大约1.0mm到大约14mm的范围(示例:2.0mm)
来自中心凹状部的通道的深度:选自大约0.025mm到大约15mm的范围(示例:0.2mm)
加热器块材料:铝(示例:铝)
加热器块厚度:选自大约20mm到大约120mm的范围(示例:45mm)
加热器块直径:选自大约295mm到大约475mm的范围(示例:345mm)
在这个示例中,在中心处的凹状部或凹陷部具有六边形形状,并且限定中心凹状部或凹陷部的凸状部的外侧壁的轮廓具有圆形形状。通过这种方式,ATS可以检测具有六边形形状的中心凹状部和圆形形状轮廓的圆形目标衬底的中心,所述圆形形状轮廓用作示出目标衬底的中心的清晰标记,以使得ATS可以成功地测量从其中心到加热器块上的目标衬底的中心的偏移。也证实这不影响形成在衬底上的膜的质量。
关于本文中实质上任何复数和/或单数术语的使用,本领域技术人员可以根据上下文适当地将复数转化为单数和/或将单数转化为复数。
已经包含在本公开中的对背景技术的任何讨论仅仅是出于提供本发明的背景的目的,并且不应当被视为承认任何或全部讨论形成先前技术的一部分或在作出本发明时在本领域中是已知的。
尽管出于图示的目的在目前认为最实用和优选的实施方案基础上对本公开的系统和/或方法进行了详细描述,但是应该理解的是,这样的细节仅仅是为了该目的,并且本公开并不限于所公开的实施方案,而是相反,旨在涵盖在所附的权利要求书的精神和范围内的修改和等同布置。举例来说,应该理解的是,本公开预期,在可能的范围内,任何实施方案的一个或多个特征可以与任何其它实施方案的一个或多个特征组合。

Claims (20)

1.一种加热器块,所述加热器块适于安装在包括喷淋头和反应室的等离子体沉积或等离子体蚀刻设备中,所述加热器块适于布置在所述反应室中以支撑衬底,并且包括:
至少一个通孔,所述至少一个通孔穿过所述加热器块,和
在所述加热器块的上表面上的多个表面,所述多个表面彼此分离并且由连续凹腔限定;并且所述连续凹腔包括:
多个主凹状部或凹陷部,和
多个凹状通道,所述多个凹状通道实质上连接每两个相邻的主凹状部或凹陷部,以及
在所述加热器块的中心处的凹状部或凹陷部,该凹状部或凹陷部具有与所述多个主凹状部或凹陷部不同的形状或尺寸。
2.根据权利要求1所述的加热器块,其中,当以三维方式观察时,在所述加热器块的所述中心处的所述凹状部或凹陷部具有圆柱形形状、没有尖端的倒锥形形状或多边柱状形状。
3.根据权利要求1所述的加热器块,其中,在所述加热器块的所述中心处的所述凹状部或凹陷部具有圆柱形形状,所述圆柱形形状具有选自大约2毫米到大约20毫米的范围的直径。
4.根据权利要求1所述的加热器块,其中,在所述加热器块的所述中心处的所述凹状部或凹陷部由多个凸状部的内侧壁限定,并且所述多个凸状部的外侧壁的轮廓具有圆形或多边形形状。
5.根据权利要求1所述的加热器块,其中,所述多个主凹状部或凹陷部和所述多个凹状通道由多个凸状部的侧壁限定。
6.根据权利要求1所述的加热器块,其中,限定在所述加热器块的所述中心处的所述凹状部或凹陷部的多个凸状部具有与限定所述多个主凹状部或凹陷部和所述多个凹状通道的多个凸状部不同的形状。
7.根据权利要求1所述的加热器块,其中,限定在所述加热器块的所述中心处的所述凹状部或凹陷部的多个凸状部具有与限定所述多个主凹状部或凹陷部和所述多个凹状通道的多个凸状部不同的形状,并且所述多个凸状部两者都具有侧壁,所述侧壁的边缘被以选自大约0.1毫米到大约2毫米的范围的半径圆化或倒角。
8.根据权利要求1所述的加热器块,其中,在所述加热器块的所述中心处的所述凹状部或凹陷部经由具有与实质上连接每两个相邻的主凹状部或凹陷部的所述多个凹状通道不同的形状或尺寸的凹状通道与相邻的主凹状部连接。
9.根据权利要求1所述的加热器块,其中,所述主凹状部或凹陷部中的每一个具有实质上相同的形状和尺寸。
10.根据权利要求1所述的加热器块,其中,所述至少一个通孔包含被用于衬底升降销的至少一个通孔。
11.一种加热器块,所述加热器块适于安装在包括喷淋头和反应室的等离子体沉积或等离子体蚀刻设备中,所述加热器块适于布置在所述反应室中以支撑衬底,并且包括:
至少一个通孔,所述至少一个通孔穿过所述加热器块,和
在所述加热器块的上表面上的多个表面,所述多个表面彼此分离并且由连续凹腔限定;并且所述连续凹腔包括:
多个主凹状部或凹陷部,和
多个凹状通道,所述多个凹状通道实质上连接每两个相邻的主凹状部或凹陷部,
其中在所述加热器块的所述中心处的凹状部或凹陷部由多个凸状部的内侧壁限定,并且所述多个凸状部的外侧壁的轮廓具有圆形或多边形形状。
12.一种衬底处理设备,包括根据权利要求1所述的加热器块。
13.根据权利要求12所述的衬底处理设备,其中,所述多个主凹状部或凹陷部、所述多个凹状通道和具有与所述多个主凹状部或凹陷部不同的形状或尺寸的在所述加热器块的中心处的所述凹状部或凹陷部由多个凸状部限定,并且所述衬底实质上由所述多个凸状部的顶表面支撑。
14.根据权利要求12所述的衬底处理设备,其中,所述加热器块包括承受器和加热器。
15.一种处理设备,包括:
喷淋头;
反应室;和
加热器块,所述加热器块布置在所述反应室中,所述加热器块包括:
至少一个通孔,所述至少一个通孔穿过所述加热器块,和
在所述加热器块的上表面上的多个表面,所述多个表面彼此分离并且由连续凹腔限定;并且所述连续凹腔包括:
多个主凹状部或凹陷部,
多个凹状通道,所述多个凹状通道实质上连接每两个相邻的主凹状部或凹陷部,和
在所述加热器块的中心处的凹状部或凹陷部,该凹状部或凹陷部具有与所述多个主凹状部或凹陷部不同的形状或尺寸。
16.根据权利要求15所述的处理设备,其中,当以三维方式观察时,在所述加热器块的所述中心处的所述凹状部或凹陷部具有圆柱形形状、没有尖端的倒锥形形状或多边柱状形状。
17.根据权利要求15所述的处理设备,其中在所述加热器块的所述中心处的所述凹状部或凹陷部具有圆柱形形状,所述圆柱形形状具有选自大约2毫米到大约20毫米的范围的直径。
18.根据权利要求15所述的处理设备,其中,在所述加热器块的所述中心处的所述凹状部或凹陷部由多个凸状部的内侧壁限定,并且所述多个凸状部的外侧壁的轮廓具有圆形或多边形形状。
19.根据权利要求15所述的处理设备,其中,所述多个主凹状部或凹陷部和所述多个凹状通道由多个凸状部的侧壁限定。
20.根据权利要求15所述的处理设备,其中所述处理设备被配置成用于在衬底上沉积或蚀刻材料。
CN201711499227.5A 2017-06-12 2017-12-29 具有连续凹腔的加热器块 Pending CN109037017A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/620,454 US10246777B2 (en) 2017-06-12 2017-06-12 Heater block having continuous concavity
US15/620,454 2017-06-12

Publications (1)

Publication Number Publication Date
CN109037017A true CN109037017A (zh) 2018-12-18

Family

ID=64563261

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711499227.5A Pending CN109037017A (zh) 2017-06-12 2017-12-29 具有连续凹腔的加热器块

Country Status (4)

Country Link
US (1) US10246777B2 (zh)
KR (1) KR102514109B1 (zh)
CN (1) CN109037017A (zh)
TW (1) TWI761443B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022066593A1 (en) * 2020-09-28 2022-03-31 Lam Research Corporation Remote plasma architecture for true radical processing

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD1035598S1 (en) * 2020-09-02 2024-07-16 Applied Materials, Inc. Gas distribution plate for a semiconductor processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11832520B2 (en) * 2021-04-27 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Voltage breakdown uniformity in piezoelectric structure for piezoelectric devices
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD1009816S1 (en) * 2021-08-29 2024-01-02 Applied Materials, Inc. Collimator for a physical vapor deposition chamber
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
JP2002237375A (ja) 2000-12-05 2002-08-23 Ibiden Co Ltd 半導体製造・検査装置用セラミック基板およびその製造方法
KR20060124441A (ko) * 2005-05-31 2006-12-05 삼성전자주식회사 모듈 히터가 구비된 반도체 제조장치
JP5069452B2 (ja) * 2006-04-27 2012-11-07 アプライド マテリアルズ インコーポレイテッド 二重温度帯を有する静電チャックをもつ基板支持体
JP2008108703A (ja) * 2006-09-28 2008-05-08 Covalent Materials Corp 面状ヒータ及びこのヒータを備えた半導体熱処理装置
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
USD643055S1 (en) 2008-09-11 2011-08-09 Asm Japan K.K. Heater block for use in a semiconductor processing tool
US8941969B2 (en) * 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9469912B2 (en) * 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
JP5962833B2 (ja) * 2015-01-16 2016-08-03 Toto株式会社 静電チャック

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022066593A1 (en) * 2020-09-28 2022-03-31 Lam Research Corporation Remote plasma architecture for true radical processing

Also Published As

Publication number Publication date
KR20180135413A (ko) 2018-12-20
US10246777B2 (en) 2019-04-02
KR102514109B1 (ko) 2023-03-24
TWI761443B (zh) 2022-04-21
US20180355480A1 (en) 2018-12-13
TW201903933A (zh) 2019-01-16

Similar Documents

Publication Publication Date Title
CN109037017A (zh) 具有连续凹腔的加热器块
TWI615501B (zh) 氣流控制裝置、噴頭組件及半導體製造設備
CN105637113B (zh) 蒸镀掩模、带框架的蒸镀掩模及有机半导体元件的制造方法
TWI784145B (zh) 靜電夾頭加熱器
CN105102668B (zh) 蒸镀掩模、蒸镀掩模准备体、蒸镀掩模的制造方法、及有机半导体元件的制造方法
US7691205B2 (en) Substrate-supporting device
US20140202388A1 (en) Shower head unit and chemical vapor deposition apparatus
TWI612174B (zh) 化學氣相沉積設備、設備、以及化學氣相沉積之方法
JP2014012891A5 (ja) 基板処理システム及び原子層蒸着システム
CN212542358U (zh) 喷头
TW201732926A (zh) 晶圓處理設備中的化學物質控制特徵
JP2006245533A (ja) 高密度プラズマ化学気相蒸着装置
JP2007518249A (ja) 半導体製造時にウェハを支持するホルダ
CN109328242A (zh) 蒸镀掩膜、有机半导体元件的制造方法以及有机el显示屏的制造方法
CN109385620A (zh) 具有更均匀的边缘净化的基板支撑件
CN101849280A (zh) 喷淋头、包括该喷淋头的基底制程装置以及使用该喷淋头的等离子体供应方法
US20170114462A1 (en) High productivity pecvd tool for wafer processing of semiconductor manufacturing
JP2020161597A (ja) ウエハ載置装置
WO2012075017A2 (en) Apparatus and process for atomic layer deposition
TW201546320A (zh) 在沉積室中用於調節氣流之設備、沉積室、以及均勻沉積材料於基板上之方法
TW202120738A (zh) 具有背側泵送的熱處理腔室蓋
JP2019207912A5 (ja) シャワーヘッドの製造方法、上部電極アセンブリ、処理装置、及び上部電極アセンブリの製造方法
CN109961999A (zh) 一种气体喷淋头及防止聚合物积聚的方法
US10410909B2 (en) Waffer pedestal and support structure thereof
JP2008244015A (ja) 半導体製造装置用サセプタ

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20181218