KR102363103B1 - 강유전성 재료로서의 규소 도핑된 산화하프늄의 증착을 위한 신규한 배합물 - Google Patents

강유전성 재료로서의 규소 도핑된 산화하프늄의 증착을 위한 신규한 배합물 Download PDF

Info

Publication number
KR102363103B1
KR102363103B1 KR1020197030367A KR20197030367A KR102363103B1 KR 102363103 B1 KR102363103 B1 KR 102363103B1 KR 1020197030367 A KR1020197030367 A KR 1020197030367A KR 20197030367 A KR20197030367 A KR 20197030367A KR 102363103 B1 KR102363103 B1 KR 102363103B1
Authority
KR
South Korea
Prior art keywords
bis
hafnium
dimethylamino
ethylmethylamino
diethylamino
Prior art date
Application number
KR1020197030367A
Other languages
English (en)
Other versions
KR20190120431A (ko
Inventor
신지안 레이
매튜 알 맥도날드
김무성
이세원
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20190120431A publication Critical patent/KR20190120431A/ko
Application granted granted Critical
Publication of KR102363103B1 publication Critical patent/KR102363103B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/24Electrically-conducting paints
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Financial Or Insurance-Related Operations Such As Payment And Settlement (AREA)

Abstract

한 측면에서, 본 발명은 규소 함유 단편과 하프늄 함유 단편 둘 다를 히드록실기를 갖는 주어진 표면 상에 고정시켜, 강유전성 재료로서 적합한 0.5 ∼ 8 몰%, 바람직하겐 2 ∼ 6 몰%, 가장 바람직하게는 3 ∼ 5 몰% 범위의 규소 도핑 수준을 갖는 규소 도핑된 산화하프늄을 증착시킬 수 있게 하는, 유기아미노하프늄과 유기아미노실란 전구체 둘 다를 포함하는 배합물이다. 다른 측면에서, 본 발명은 상기 배합물을 사용하여 규소 도핑된 산화하프늄 필름을 증착하기 위한 방법 및 시스템이다.

Description

강유전성 재료로서의 규소 도핑된 산화하프늄의 증착을 위한 신규한 배합물
본 발명은 전기적 메모리 적용을 위한 강유전성 재료로서의 규소 도핑된 산화하프늄을 증착하는 데 사용될 수 있는 배합물에 관한 것이다.
본원에는 열 원자층 증착(ALD) 또는 플라즈마 강화식 원자층 증착(PEALD) 공정, 주기적 화학 기상 증착, 플라즈마 강화식 주기적 화학 기상 증착 또는 이들의 조합을 통해 규소 도핑된 산화하프늄을 증착하기 위한 신규한 배합물 또는 조성물(이들은 상호 교환적임), 방법, 및 그를 포함하는 시스템이 기술된다.
보다 구체적으로, 예를 들어 약 200℃ ∼ 약 350℃를 포함하는, 약 600℃ 이하의 하나 이상의 증착 온도에서 2 ∼ 6 몰% 범위의 규소 도핑 수준을 갖는 규소 도핑된 산화하프늄의 형성을 위한 조성물, 방법 및 시스템이 본원에 기술된다.
원자층 증착(ALD: Atomic Layer Deposition) 및 플라즈마 강화식 원자층 증착(PEALD: Plasma Enhanced Atomic Layer Deposition)은 수퍼 사이클 접근법, 즉 다수의 사이클의 산화하프늄에 이어서 한 사이클 또는 약간의 사이클의 산화규소를 사용하여 규소 도펀트의 양을 제어함으로써, 생성된 나노 적층체를 어닐링하여 사방정상으로 결정화할 때에 강유전성 재료를 제공하는, 규소 도핑된 산화하프늄을 증착하는 데 이용되는 현재의 공정이다.
ALD 및 PEALD 공정 모두에서, 전구체 및 반응성 가스(예를 들어 산소, 산소 플라즈마, 오존 또는 물)는 특정 수의 사이클로 개별적으로 펄싱되어 각각의 슈퍼 사이클에서 산화하프늄의 다중층 및 산화규소의 단일층을 형성한다. 그러나, 규소 도펀트는 결정 격자에 균질하게 분포되지 않을 수 있으며, 이는 반도체 적용에서 강유전성 재료의 성능에 악영향을 줄 수 있다. 이를 해결하기 위해 가능한 한 가지 해결책은, 각각의 ALD 또는 PEALD 사이클에서 산화규소와 산화하프늄을 공증착하여 규소와 하프늄 원자를 더 양호하게 혼합한 후에 열 어닐링하여 강유전성 재료에 적합한 적절한 사방정상으로 결정화하는 것이다.
공지된 전구체 및 방법의 예는 하기 공보, 특허 및 특허 출원에 개시되어 있다:
문헌[Claudia Richter, M. H. P., Tony Schenk, Robin Materlik, Christopher Kuenneth, Alfred Kersch, Cheol Seong Hwang, Thomas Mikolajick, Uwe Schroeder (2016). '강유전성 HfO2계 커패시터의 비휘발성 메모리 성능에 대한 ALD 처리의 영향'. 원자층 증착에 관한 제16회 국제 컨퍼런스. 2016년 7월 24∼27일, 아일랜드 더블린].
최근에, 비중심 대칭 또는 사방정계 상으로 인한 얇은 도핑된 산화하프늄 필름의 강유전성 거동이 보고되었다[Boescke, T. S., Mueller, J., Braeuhaus, D., Schroeder, U. and Boettger, U. (2011). "ferroelectricity in 하프늄 oxide thin films." Appl. Phys. Lett. 99(10): 102903/102901-102903/102903].
그 후 몇 년 동안, 비휘발성 저장 층으로서 HfO2를 사용하는 새로운 메모리 장치가 제안되었다. 지금까지 알려지지 않은 상의 근본 원인을 이해하기 위해 지속적인 연구가 진행되었다. 따라서, 도핑된 HfO2 박막의 강유전성 특성 및 결정 구조를 조사하였다. 투과 전자 현미경(TEM) 측정과 조합된 피에조-반응력 현미경(PFM)은, 단일 도핑 된 HfO2의 정렬의 도메인 크기를 보여주었다. 10 nm 두께의 필름에 대해 ∼20-30 nm의 직경을 갖는 도핑된 HfO 입자의 구현 후, 그리고 강유전성 랜덤 액세스 메모리(FRAM) 커패시터에서 도핑된 HfO2의 구현 후, 비휘발성 데이터 저장을 위한 중요한 파라미터, 예를 들어 잔류 분극, 웨이크업 성능, 내구성, 피로 및 누설 전류와 같은 일반적인 유전 특성과 함께 임프린트 및 유전 상수가 특성화되었다. 강유전성 Si 도핑된 HfO2 필름은 HfO2 증착(테트라키스(에틸메틸아미노)하프늄/H2O) 동안에 특정 양의 SiOx 서브 사이클(실란디아민, N,N,N',N'-테트라에틸/O2 플라즈마)을 펄싱함으로써 처리되었다. 단일 SiOx 서브 사이클의 위치가 최적화되었다. 제1 SiOx 층과 하부 전극까지의 21 HfO2 사이클의 거리는 강유전성 층의 유사한 웨이크 업 거동에서 (1초 후) 잔류 분극 및 완화된 분극의 개선이 유도되었다. 이와 동시에 사이클링 내구 시간은 10∼100 배 증가될 수 있다. 강유전성 재료 내의 SiO2 또는 Al2O3 중간층은 도핑된 HfO2 두께가 최소 두께를 초과하지 않는 한, 커패시터 구조의 강유전성 메모리 특성을 추가로 개선할 수 있다. 종합적으로, 결과는 HfO2 최초 시뮬레이션 내에서 제한된 Si 확산이, 1000℃ 어닐링 후에도 강유전성 HfO의 상 안정성에 대한 도핑 분포 및 산소 결핍의 영향을 확인해준다는 것을 시사한다.
문헌[Hoffmann, M., Schroeder, U., Kuenneth, C., Kersch, A., Starschich, S., Boettger, U. and Mikolajick, T. (2015). "나노스케일 HfO2 필름의 강유전 위상 전이는 큰 초전 에너지 변환 및 고효율 슈퍼 커패시터를 가능하게 한다". Nano Energy 18: 154-164]. 3.8 ∼ 5.6 몰%의 Si 함량을 갖는 강유전성 나노 스케일 TiN/Si:HfO2/TiN 커패시터에서 온도- 및 필드-유도된 위상 전이는 에너지 변환 및 저장 응용을 위해 조사된다. 5.6 몰%의 Si 농도를 갖는 필름은 슈퍼 커패시터에 유용한 넓은 온도 범위에서 ∼80%의 매우 높은 효율로 ∼40 J/cm3의 에너지 저장 d를 나타낸다. 또한, 온도 의존의 강유전성 내지 역전성 상 전이로 인해 최대 -1300 μC/(m2K)의 큰 초전 계수가 관찰된다. 넓은 전이 영역은 입자 크기 분포와 관련이 있으며 Si 함량에 따라 조정 가능하다. 이 강력한 초전성은 최대 0.591의 전열 결합 계수 k2를 산출하는데, 이는 보고된 최고 값보다 1 배 이상 높다. 이를 통해 Olsen주기당 20.27 J/cm3의 최고 수집 가능 에너지로 초전 에너지 수집이 가능하다. IR 센싱에서의 가능한 응용에 대해 설명한다. 반대로, 전기 열량 효과를 통해 최대 9.5 K의 단열 온도 변화 및 사이클당 19.6 J/cm3의 최고 냉매 용량을 달성할 수 있다. 이는 에너지 효율적인 온-칩 전기 열량 냉각 장치를 가능하게 한다. 부가적으로, 이들 필름의 저비용 제조는 기존의 반도체 공정 기술에 의해 가능하다.
문헌[Mueller, S., Summerfelt, S. R., Mueller, J., Schroeder, U. and Mikolajick, T. (2012). "차세대 FRAM 커패시터를 위한 10 나노미터의 강유전성 Si:HfO2 필름". IEEE Electron Device Lett. 33(9): 1300-1302].
Si-도핑된 HfO2 박막(10 nm)의 강유전 특성이 조사되었다. 이 문헌의 초점은 미래의 3D 강유전성 랜덤 액세스 메모리 커패시터에 대한 이러한 박막의 잠재적인 적용 가능성을 평가하는 것이다. 상승된 온도에서 편광 스위칭을 시험하였다. 최대 185℃까지 열화되지 않았다. 도메인 스위칭 역학은 펄스 스위칭 시험에 의해 특성화되었고, Kolmogorov-Avrami 타입 스위칭과 일치하지 않았다. 핵 형성-제한 스위칭이, 이러한 새로운 유형의 강유전성 박막에 적용할 수 있는 것으로 제안된다. 또한, 125℃에서 최대 20 시간 동안 동일한 상태 및 반대 상태 유지 시험을 수행했다. 800℃에서 이전에 어닐링된 샘플은 기록된 상태 및 반대 상태의 유지가 개선된 것으로 나타났다. 또한, 피로 측정이 수행되었고, 3 V에서 106 프로그래밍 및 소거 사이클에 대한 열화가 발생하지 않았다.
문헌[Mueller, S.F., Yurchuk, E. and Schroeder, U. (2014) "집적 회로를 위한 강유전성 메모리 셀". US9053802 B].
집적 회로는 강유전성 메모리 셀을 포함한다. 표제의 강유전성 메모리 셀은 제1 산화물 저장 층, 제2 산화물 저장 층, 및 제1과 제2 산화물 저장 층 사이에 배치된 비정질 층을 포함한다. 제1 및 제2 산화물 저장 층 각각은 적어도 부분적으로 강유전 상태에 있는 강유전성 재료를 포함하고, 주요 성분으로서 산소 및 Hf, Zr 및 (Hf, Zr)로 이루어진 군 중 어느 하나를 추가로 포함한다.
문헌[Park, J. U., Kim, J. Y., Cho, B. Y., Yoo, G. H., Chae, S. D., Kim, Y. S., Cho, Y. J., Choi, H. M. and Hwang, G. H. (2012) "금속 산화물 또는 규소 함유 금속 산화물 증착에 대해 우수한 열 안정성을 갖는 전구체로서 유용한 실릴아민을 함유하는 유기 금속 화합물." KR101284664 B1].
상기 발명은 실릴아민 리간드 (R1R2N)3-xM(L)(NR3SiR4R5R6)x를 갖는 유기 금속 화합물에 관한 것이며, 상기 식에서 M = Si, Ge, Ti, Zr 또는 Hf이고; L = 할라이드, C1-6 알킬 또는 시클로펜타디에닐이며; R1-6 = 독립적으로 H, C1-6 알킬, 또는SiR12R13R14; R12, R13, R14 = 독립적으로 H 또는 C1-6 알킬이고; x = 0, 1, 2 또는 3이다.
문헌[Park, M. H., Lee, Y. H., Kim, H. J., Kim, Y. J., Moon, T., Kim, K. D., Mueller, J., Kersch, A., Schroeder, U., Mikolajick, T. and Hwang, C. S. (2015). "도핑된 얇은 HfO2계 필름의 강유전성 및 반강유전성." Adv. Mater. (Weinheim, Ger.) 27(11): 1811-1831].
Park 등은 HfO2계 박막에서의 강유전성 및 반강유전성의 과정을 교시한다. 대부분의 강유전성 박막 연구는 페로브스카이트 구조 재료, 예컨대 Pb(Zr,Ti)O3, BaTiO3, 및 SrBi2Ta2O9에 집중하고 있으며, 이는 비휘발성 반도체 메모리 장치에 적합한 후보 재료로 여겨진다. 그러나, 이러한 종래의 강유전성 재료는 불량한 Si-혼화성, Pb와 관련된 환경 문제, 큰 물성, 두께, 수소에 대한 낮은 저항 및 작은 밴드갭을 포함한 다양한 문제를 겪고 있다.
2011년에는, Si 도핑된 HfO2 박막의 강유전성이 먼저 보고되었다. 다양한 도펀트, 예컨대 Si, Zr, Al, Y, Gd, Sr 및 La는 얇은 HfO2 막에서 강유전성 또는 반강유전성을 유도할 수 있다. 그들은 최대 45 μC cm-2의 큰 잔류 분극을 가지며, 그들의 보자기장(
Figure 112019105183574-pct00001
1-2 MV cm-1)은 기존의 강유전성 필름보다 약 1 배 더 크다. 또한 이들은 매우 얇을 수 있으며(<10 nm), 큰 밴드갭(>5 eV)을 가질 수 있다. 이러한 차이는 강유전 전계 효과 트랜지스터 및 3차원 커패시터를 포함하는 메모리 적용에서 종래의 강유전성의 장벽을 극복하는 것으로 여겨진다. 또한, 전기 커플링. 반강유전성 박막의 열적 특성은 에너지 수집/저장, 고체 상태 냉각 및 IR 센서를 포함한 다양한 작용에 유용할 것으로 예상된다. 본 기술 분야에는, 미래의 메모리 장치를 제조하는데 사용될 강유전성 재료로서 사방정계상으로 열적으로 어닐링될 수 있는 규소 도핑된 산화하프늄 함유 필름을 증착하기 위한 전구체 및 방법에 대한 요구가 있다.
본 발명은, 0.5 ∼ 8 몰%, 바람직하게는 2 ∼ 6 몰%, 가장 바람직하게는 3 ∼ 5 몰%의 규소 도핑 수준을 갖는 규소 도핑된 산화하프늄을 증착하기 위해 히드록실기를 갖는 주어진 표면 상에, 규소 함유 단편과 하프늄 함유 단편 둘 다를 고정시킬 수 있도록 하는 유기아미노하프늄과 유기아미노실란 전구체 화합물 둘 다를 포함하는 배합물 또는 조성물(배합물과 조성물은 상호 교환적임)을 제공함으로써 종래의 전구체 및 방법과 관련된 문제들을 해결한다.
한 측면에서, 본 발명은 규소 도핑된 산화하프늄 필름을 증착하기 위한 조성물로서,
(a) RxR3Si(NR1R2)3 -x의 화학식을 갖는 하나 이상의 유기아미노실란 전구체 화합물로서, 상기 화학식에서 R은 할라이드(Cl, Br 및 I)로부터 선택되거나, 선형 또는 분지형 C1 내지 C6 알킬이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; R3은 선형 또는 분지형 C1 내지 C6 알킬로부터 선택되며; x = 0, 1 또는 2인 하나 이상의 유기아미노실란 전구체 화합물; 및 (b) LxHf(NR1R2)4 -x의 화학식을 갖는 하나 이상의 유기아미노하프늄 전구체 화합물로서, 상기 화학식에서 L은 시클로펜타디에닐 또는 알킬 치환된 시클로펜타디에닐이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; x = 0, 1 또는 2인 하나 이상의 유기아미노하프늄 전구체 화합물
을 포함하는 조성물이다. R3 기를 갖는 것은 유기아미노실란 전구체의 히드록실기에 대한 반응성을 감소시키거나 증가시킬 수 있으며, 따라서 산화하프늄으로의 저수준 규소 도핑의 더 나은 제어를 가능하게 하는 것으로 생각된다.
다른 측면에서, 본 발명은 강유전성 재료로서의 규소 도핑된 산화하프늄 필름을 기재 상에 증착하는 방법으로서,
a) 반응기에 기재를 제공하는 단계;
b) 반응기에, (a) RxR3Si(NR1R2)3-x의 화학식을 갖는 하나 이상의 유기아미노실란 전구체 화합물로서, 상기 화학식에서 R은 할라이드(Cl, Br 및 I)로부터 선택되거나, 선형 또는 분지형 C1 내지 C6 알킬이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; R3은 선형 또는 분지형 C1 내지 C6 알킬로부터 선택되며; x = 0, 1 또는 2인 하나 이상의 유기아미노실란 전구체 화합물; 및 (b) LxHf(NR1R2)4-x의 화학식을 갖는 하나 이상의 유기아미노하프늄 전구체 화합물로서, 상기 화학식에서 L은 시클로펜타디에닐 또는 알킬 치환된 시클로펜타디에닐이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; x = 0, 1 또는 2인 하나 이상의 유기아미노하프늄 전구체 화합물
을 포함하는 조성물을 주입하는 단계;
c) 반응기를 퍼지 가스로 퍼징하는 단계;
d) 반응기에 산소 함유 공급원을 주입하는 단계; 및
e) 반응기를 퍼지 가스로 퍼징하는 단계
를 포함하며, b) 내지 e)는 원하는 두께의 필름이 증착될 때까지 반복되는 것인, 규소, 하프늄 및 산소를 포함하는 필름을 기재 상에 증착하는 방법이며, 상기 방법은 100℃ ∼ 600℃ 범위의 온도에서 수행된다.
또 다른 측면에서, 본 발명은 또한 규소 도핑된 산화하프늄 필름을 기재 상에 증착하는 시스템으로서, 반응기 내의 기재; 및 (a) RxR3Si(NR1R2)3-x의 화학식을 갖는 하나 이상의 유기아미노실란 전구체 화합물로서, 상기 화학식에서 R은 할라이드(Cl, Br 및 I)로부터 선택되거나, 선형 또는 분지형 C1 내지 C6 알킬이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; R3은 선형 또는 분지형 C1 내지 C6 알킬로부터 선택되며; x = 0, 1 또는 2인 하나 이상의 유기아미노실란 전구체 화합물; 및 (b) LxHf(NR1R2)4 -x의 화학식을 갖는 하나 이상의 유기아미노하프늄 전구체 화합물로서, 상기 화학식에서 L은 시클로펜타디에닐 또는 알킬 치환된 시클로펜타디에닐이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나 R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; x = 0, 1 또는 2인 하나 이상의 유기아미노하프늄 전구체 화합물을 포함하는 조성물을 포함하는 시스템이며, 상기 시스템은 100℃ ∼ 600℃ 범위의 온도에 있다.
규소 도핑된 산화하프늄 필름을 증착하기 위한 조성물은 (c) 용매를 추가로 포함한다.
한 측면에서, 본 발명은 또한 조성물을 이용하거나 용매와 함께 조성물을 이용하는 용기 또는 컨테이너이며; 여기서 상기 조성물은 (a) RxR3Si(NR1R2)3-x의 화학식을 갖는 하나 이상의 유기아미노실란 전구체 화합물로서, 상기 화학식에서 R은 할라이드(Cl, Br 및 I)로부터 선택되거나, 선형 또는 분지형 C1 내지 C6 알킬이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; R3은 선형 또는 분지형 C1 내지 C6 알킬로부터 선택되며; x = 0, 1 또는 2인 하나 이상의 유기아미노실란 전구체 화합물; 및 (b) LxHf(NR1R2)4-x의 화학식을 갖는 하나 이상의 유기아미노하프늄 전구체 화합물로서, 상기 화학식에서 L은 시클로펜타디에닐 또는 알킬 치환된 시클로펜타디에닐이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나 R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; x = 0, 1 또는 2인 하나 이상의 유기아미노하프늄 전구체 화합물 중 하나 이상을 포함한다.
본원에 개시된 방법과 함게 사용될 수 있는 적합한 유기아미노실란 전구체 화합물의 예는 트리스(디메틸아미노)메틸실란, 트리스(디에틸아미노)메틸실란, 트리스(에틸메틸아미노)메틸실란, 트리스(피롤리디노)메틸실란, 트리스(디메틸아미노)에틸실란, 트리스(디에틸아미노)에틸실란, 트리스(에틸메틸아미노)에틸실란, 트리스(피롤리디노)에틸실란, 비스(디메틸아미노)디메틸실란, 비스(디에틸아미노)디메틸실란, 비스(에틸메틸아미노)디메틸실란, 비스(피롤리디노)디메틸실란, 비스(디메틸아미노)디에틸실란, 비스(디에틸아미노)디에틸실란, 비스(에틸메틸아미노)디에틸실란, 비스(피롤리디노)디에틸실란, 디메틸아미노트리메틸실란, 디에틸아미노트리메틸실란, 에틸메틸아미노트리메틸실란, 피롤리디노트리메틸실란, 디메틸아미노트리에틸실란, 디에틸아미노트리에틸실란, 에틸메틸아미노트리에틸실란, 피롤리디노트리에틸실란, 디메틸아미노페닐디메틸실란, 디에틸아미노페닐디메틸실란, 에틸메틸아미노페닐디메틸실란, 피롤리디노페닐디메틸실란, 트리스(디메틸아미노)페닐실란, 트리스(디에틸아미노)페닐실란, 트리스(에틸메틸아미노)페닐실란, 트리스(피롤리디노)페닐실란, 1-디메틸아미노-1,1,3,3,3-펜타메틸디실록산, 1,1,1,4,4,4-헥사키스(디메틸아미노)-1,4-디실라부탄, 2,5-비스(디메틸아미노)-2,5-디메틸-2,5-디실라헥산 및 이들의 조합을 포함하나, 이들로 한정되지는 않는다.
본원에 개시된 방법과 함께 사용될 수 있는 적합한 유기아미노하프늄 전구체 화합물의 예는 테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 테트라키스(에틸메틸아미노)하프늄(TEMAH), 테트라키스(피롤리디노)하프늄, 시클로펜타디에닐트리스(디메틸아미노)하프늄(CpHf(NMe2)3, 메틸시클로펜타디에닐트리스(디메틸아미노)하프늄(MeCpHf(NMe2)3), 에틸시클로펜타디에닐트리스(디메틸아미노)하프늄(EtCpHf(NMe2)3), 시클로펜타디에닐트리스(에틸메틸아미노)하프늄(CpHf(NMeEt)3), 메틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(MeCpHf(NMeEt)3), 에틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(EtCpHf(NMeEt)3), 시클로펜타디에닐트리스(디에틸아미노)하프늄(CpHf(NEt2)3), 메틸시클로펜타디에닐트리스(디에틸아미노)하프늄(MeCpHf(NEt2)3), 에틸시클로펜타디에닐트리스(디에틸아미노)하프늄(EtCpHf(NEt2)3), 비스(시클로펜타디에닐)비스(디메틸아미노)하프늄(Cp2Hf(NMe2)2), 비스(메틸시클로펜타디에닐)비스(디메틸아미노)하프늄((MeCp)2Hf(NMe2)2), 비스(에틸시클로펜타디에닐)비스(디메틸아미노)하프늄((EtCp)2Hf(NMe2)2), 비스(시클로펜타디에닐)비스(에틸메틸아미노)하프늄(Cp2Hf(NMeEt)2), 비스(메틸시클로펜타디에닐)비스(에틸메틸아미노)하프늄((MeCp)2Hf(NMeEt)2), 비스(에틸시클로펜타디에닐)비스(에틸메틸아미노)하프늄((EtCp)2Hf(NMeEt)2), 비스(시클로펜타디에닐)비스(디에틸아미노)하프늄((Cp2Hf(NEt2)2), 비스(메틸시클로펜타디에닐)비스(디에틸아미노)하프늄((MeCp)2Hf(NEt2)3), 비스(에틸시클로펜타디에닐)비스(디에틸아미노)하프늄((EtCp)2Hf(NEt2)2), (N-메틸-2,4-시클로펜타디엔-1-에탄아미노]비스(디메틸아미노)하프늄, (N-에틸-2,4-시클로펜타디엔-1-에탄아미노]비스(디메틸아미노)하프늄, (N-메틸-2,4-시클로펜타디엔-1-에탄아미노]비스(디에틸아미노)하프늄, (N-에틸-2,4-시클로펜타디엔-1-에탄아미노]비스(디에틸아미노)하프늄, (N-메틸-2,4-시클로펜타디엔-1-에탄아미노]비스(에틸메틸아미노)하프늄, (N-에틸-2,4-시클로펜타디엔-1-에탄아미노]비스(에틸메틸아미노)하프늄, 및 이들의 조합을 포함하나, 이들로 한정되지 않는다.
예시적 용매는, 제한 없이, 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 실록산, 3차 아미노에테르, 및 이들의 조합을 포함할 수 있다.
용매가 없는 배합물 중 유기아미노실란 전구체 화합물의 중량%는 0.10 ∼ 99.90 중량%; 또는 0.10 ∼ 30.00 중량%, 0.10 ∼ 20.00 중량%, 0.10 ∼ 10.00 중량%; 또는 5.00 ∼ 30.00 중량%, 5.00 ∼ 20.00 중량%, 5.00 ∼ 10.00 중량%, 0.10 ∼ 5.00 중량%로 변화할 수 있다.
용매가 있는 배합물 중 유기아미노실란 전구체 화합물의 중량%는 0.01 ∼ 99.99 중량%, 또는 10.00 ∼ 90.00 중량%, 또는 20.00 ∼ 80.00 중량%, 또는 30.00 ∼ 70.00 중량%, 또는 40.00 ∼ 60.00 중량%로 변화할 수 있다.
용매가 없는 배합물 중 유기아미노하프늄 전구체 화합물의 중량%는 0.10 ∼ 99.00 중량%; 또는 0.10 ∼ 30.00 중량%, 0.10 ∼ 20.00 중량%, 0.10 ∼ 10.00 중량%; 또는 5.00 ∼ 30.00 중량%, 5.00 ∼ 20.00 중량%, 5.00 ∼ 10.00 중량%, 0.10 ∼ 5.00 중량%로 변화할 수 있다.
용매가 있는 배합물 중 유기아미노하프늄 화합물의 중량%는 0.10 ∼ 99.00 중량%, 또는 10.00 ∼ 90.00 중량%, 또는 20.00 ∼ 80.00 중량%, 또는 30.00 ∼ 70.00 중량%, 또는 40.00 ∼ 60.00 중량%로 변화할 수 있다.
다른 측면에서, 본 발명은 또한, 개시된 조성물, 방법 및 시스템을 이용하여 증착되는, 0.50 ∼ 8.00 몰%, 바람직하게는 2.00 ∼ 6.00 몰%, 가장 바람직하게는 3.00 ∼ 5.00 몰% 범위의 규소 도핑 수준을 갖는 규소 도핑된 산화하프늄 필름이다.
또 다른 측면에서, 본 발명은 또한, 개시된 조성물, 방법 및 시스템을 이용하여 증착되는, 0.50 ∼ 8.00 몰%, 바람직하게는 2.00 ∼ 6.00 몰%, 가장 바람직하게는 3.00 ∼ 5.00 몰% 범위의 규소 도핑 수준을 갖는 규소 도핑된 산화하프늄 필름을 함유하는 강유전성 재료이다.
일부 실시양태에서, 조성물은 규소 함유 필름을 위한 반응기 챔버로 직접 액체 주입을 통해 전달될 수 있다.
본 발명의 실시양태는 단독으로 또는 서로 조합되어 이용될 수 있다.
도 1은 소위 슈퍼 사이클 ALD 공정을 이용하여 규소 도핑된 산화하프늄을 증착함으로써 나노 적층체 구조를 생성한 후, 열 어닐링하여 강유전성 재료에 적합한 규소 도핑된 산화하프늄을 생성하는 선행 기술의 방법을 도시한 도면을 제공한다(문헌["Impact of ALD processing on non-volatile memory performance of ferroelectric HfO2 based capacitors" 16th International Conference on Atomic Layer Deposition. 24th-27th July 2016, Dublin, Ireland] 참조).
도 2는 규소 도핑된 산화하프늄을 증착함으로써 ALD 또는 PEALD 공정의 각 사이클에서 규소와 하프늄 원자를 균질하게 혼합한 다음, 열 어닐링하여 강유전성 재료에 적합한 규소 도핑된 산화하프늄을 생성하는 본 발명을 도시한 도면을 제공한다.
도 3은 테트라키스(디메틸아미노)하프늄(TDMAH) 중 다양한 양의 비스(디메틸아미노)디메틸실란을 포함하는 배합물에 대한 융점 대 유기아미노실란 농도의 그래프를 제공한다.
용어 "한" 및 "하나" 및 "그" 및 유사한 지시어의 사용은 본 발명을 설명하는 맥락에서(특히 후속되는 청구범위의 맥락에서) 본 명세서에서 달리 명시되거나 문맥상 명백하게 모순되지 않는 한, 단수형과 복수형을 모두 포괄하는 것으로 이해된다. 용어 "포함하는", "갖는", "포괄하는" 및 "함유하는"은 달리 언급되지 않는 한 개방형 용어로 해석되어야 한다(즉, "포함하지만 이들로 한정되지 않는"을 의미함). 본원에서 값의 범위의 언급은 단지 달리 명시되지 않는 한, 범위 내에 속하는 각각의 개별 값을 개별적으로 지칭하는 속기 방법으로서 기능하기 위한 것이며, 각각의 개별 값은 마치 본 명세서에서 개별적으로 인용된 것처럼 명세서에 포함된다.
본원에 기술된 모든 방법은 본원에 달리 명시되거나 문맥상 명백하게 모순되지 않는 한, 임의의 적합한 순서로 수행될 수 있다. 본원에 제공된 임의의 및 모든 예, 또는 예시적인 언어(예를 들어, "예컨대")의 사용은 단지 본 발명을 더 잘 설명하기 위한 것이며, 달리 언급되지 않는 한, 본 발명의 범위에 제한을 두지 않는다. 본 명세서의 어떠한 용어도 본 발명의 실시에 필수적인 것으로 청구되지 않은 요소를 나타내는 것으로 해석되어서는 안 된다.
본 발명을 수행하기 위해 본 발명자들에게 알려진 최상의 모드를 포함하여 본 발명의 바람직한 실시예가 본 명세서에 기술되어 있다. 이들 바람직한 실시예의 변형은 상기 설명을 읽으면서 당업자에게 명백해질 수 있다. 본 발명자들은 당업자가 이러한 변형을 적절히 채택할 것을 기대하며, 본 발명자들은 본 명세서에서 구체적으로 설명된 것과 다르게 본 발명을 실시하고자 한다. 따라서, 본 발명은 적용 가능한 법률에 의해 허용되는 바와 같이 본원에 첨부된 청구범위에 인용된 주제의 모든 변형예 및 균등 내용을 포함한다. 또한, 본 명세서에서 달리 명시되거나 문맥상 명백하게 모순되지 않는 한, 모든 가능한 변형에서 상기 기재된 요소의 임의의 조합이 본 발명에 포함된다.
본 발명은 당업계에 공지된 장비를 사용하여 실시될 수 있다. 예를 들어, 본 발명의 방법은 반도체 제조 기술에서 통상적인 반응기를 사용할 수 있다.
원자층 증착(ALD) 및 플라즈마 강화식 원자층 증착(PEALD)은 현재 슈퍼 사이클 접근법을 사용하여 규소 도핑된 산화하프늄, 즉 많은 사이클의 산화하프늄에 이어 한 사이클 또는 여러 사이클의 산화규소가 증착되는 데 사용되는 공정이다. 생성된 나노 적층체를 어닐링할 때 강유전성 재료를 제공하도록 규소 도펀트의 양을 제어하여 도 1에 도시된 바와 같이 사방정상으로 결정화한다. ALD와 PEALD 공정 모두에서, 전구체 및 반응성 가스(예컨대 산소, 산소 플라즈마, 오존 또는 물)는 특정 수의 사이클에서 개별적으로 펄싱되어 각 슈퍼 사이클에서 산화하프늄의 다중층 및 산화규소의 단일층을 형성한다. 그러나, 규소 도펀트는 결정 격자에 균질하게 분포되지 않을 수 있으며, 이는 반도체 적용에서 강유전성 재료의 성능에 해로울 수 있다. 이를 해결하기 위해 가능한 한 가지 해결책은 도 2에 나타낸 바와 같이 각각의 ALD 또는 PEALD 사이클에서 산화규소와 산화하프늄을 공증착하여 규소와 하프늄 원자를 더 잘 혼합하고 Si-O-Hf 또는 Hf-O-Si 결합을 생성하여, 열 어닐링에 의해 강유전성 재료로서 적합한 적절한 사방정상으로 결정화되도록 한다.
일부 실시양태에서, 본 발명의 방법에 사용된 산소 함유 공급원은 산소 플라즈마, 오존, 수증기, 수증기 플라즈마, 산화질소(예컨대, N2O, NO, NO2), 불활성 기체가 존재하거나 부재하는 플라즈마, 산화탄소(예컨대, CO2, CO) 플라즈마 및 이들의 조합으로 이루어진 군으로부터 선택된 공급원이다. 특정 실시양태에서, 산소 공급원은 불활성 기체를 추가로 포함한다. 이들 실시양태에서, 불활성 기체는 아르곤, 헬륨, 질소, 수소 및 이들의 조합으로 이루어진 군에서 선택된다. 대안적인 실시양태에서, 산소 공급원은 불활성 가스를 포함하지 않는다.
임의의 이론 또는 설명에 구속되지 않고, 본 발명의 배합물의 효과는 유기아미노실란 전구체의 중량%를 조정함으로써 산화하프늄으로의 규소 원자의 적절한 도핑을 가능하게 하는 것으로 여겨지며, 특히 유기아미노실란 전구체는 두 전구체가 서로 화학적으로 양립 가능하지만 히드록실기에 대한 상이한 반응성을 갖게 하는 유기아미노하프늄 전구체 화합물과 동일한 유기아미노기를 갖는다.
산화하프늄은 단사정계, 정방정계 및 사방정계의 3가지 상이한 결정상으로 존재하는 것으로 널리 공지되어 있다. 단사정상과 정방정상 모두 반도체 산업에서 고유전율 재료로 간주되어 왔다. 박막에서의 결정화는 결정상 성장 동안에 정방정상에서의 핵 생성 및 단 사정상으로의 마르텐 사이트 변환에 의해 진행되는 경향이있다. 이 상 변형은 단위 셀의 부피 팽창 및 전단을 포함한다. 충분한 SiO2(5 ∼ 10 몰%)의 혼합물은 HfO2에서 정방 형상을 안정화시키는 것으로 밝혀졌다. 또한, HfO2 박막의 결정화 동안 상부 전극의 존재는 단사정상 분율의 감소 및 유전 상수의 현저한 증가를 초래하는 것으로 보고되었다. 규소 도핑 수준이 2.00 ∼ 6.00 몰%의 범위에 있을 때, 기계적 캡슐화 하에서 결정화가 일어나고 사방정상이 얻어지면 단사정상 형성이 억제된다. 이 위상은 뚜렷한 압전 반응을 보여 주지만, 편광 측정은 1 MV/cm의 보자기장에서 10 μC/cm2 이상의 잔류 편광을 나타내며, 이 위상은 강유전성을 시사한다. 본 발명에 개시된 배합 조성물은 본 발명의 배경에 기술된 것과 비교하여 산화하프늄으로의 보다 우수한 균질 규소 도핑을 가능하게 하며, 따라서 전구체 합성의 비용 또는 편리성, 열적 안정성, 융점, 상용성, 반응성 또는 휘발성을 포함하는 전구체의 물성, 규소 도핑된 산화하프늄의 증착 공정, 전구체 전달의 비용 또는 편의성, 규소 도핑 수준을 제어하는 능력, 규소 도핑의 재현성 및 균일성, 또는 중요하게는 강유전성 재료로서 적합한 증착된 규소 도핑된 산화하프늄 필름의 특성에 대한 하나 이상의 측면에서의 이점을 제공할 수 있다.
임의의 이론 또는 설명에 구속되지 않고, 본 발명의 배합물의 효과는 유기아미노실란 전구체의 중량%를 조정함으로써 산화하프늄으로의 규소 원자의 적절한 도핑을 가능하게 하는 것으로 여겨지며, 특히 유기아미노실란 전구체는 두 전구체가 서로 화학적으로 양립 가능하게 하는, 즉, 저장 또는 사용 동안에 조성 변화는 없지만 히드록실기에 대해 상이한 반응성을 갖게 하는 유기아미노하프늄 전구체와 동일한 유기아미노기를 갖는다. 산화하프늄 필름에서 규소 도핑은 또한 상이한 상용성 규소 및 하프늄 전구체의 다양한 반응성에 기초하여 조정될 수 있다. 예를 들어, 덜 반응성인 유기아미노실란 및 보다 반응성인 유기아미노하프늄 전구체를 포함하는 배합물은 규소 도핑된 산화하프늄 필름에서 보다 낮은 규소 도핑 수준을 달성하기 위해 사용될 수 있다. 마찬가지로, 덜 반응성인 유기아미노실란 및 보다 반응성인 유기아미노하프늄 전구체를 포함하는 배합물은 규소 도핑된 산화하프늄 필름에서 더 높은 규소 도핑 수준을 달성하기 위해 사용될 수 있다. 규소 도핑된 산화하프늄 물질에서의 규소 도핑 수준은 유기아미노실란 및 유기아미노하프늄 성분의 다양한 반응성에 기초하여 증착 온도를 변화시킴으로써 조정될 수 있는 것으로 여겨진다.
한 측면에서, 강유전성 재료로서의 규소 도핑된 산화하프늄 필름을 증착하기 위한 조성물은
(a) RxR3Si(NR1R2)3-x의 화학식을 갖는 하나 이상의 유기아미노실란 전구체 화합물로서, 상기 화학식에서 R은 할라이드(Cl, Br 및 I)로부터 선택되거나, 선형 또는 분지형 C1 내지 C6 알킬이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; R3은 선형 또는 분지형 C1 내지 C6 알킬로부터 선택되며; x = 0, 1 또는 2인 하나 이상의 유기아미노실란 전구체 화합물; 및 (b) LxHf(NR1R2)4 -x의 화학식을 갖는 하나 이상의 유기아미노하프늄 전구체 화합물로서, 상기 화학식에서 L은 시클로펜타디에닐 또는 알킬 치환된 시클로펜타디에닐이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나 R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; x = 0, 1 또는 2인 하나 이상의 유기아미노하프늄 전구체 화합물
을 포함한다.
또 다른 측면에서, 강유전성 재료로서의 규소 도핑된 산화하프늄 필름을 기재 상에 증착하는 방법으로서,
a) 반응기에 기재를 제공하는 단계;
b) 반응기에, (a) RxR3Si(NR1R2)3-x의 화학식을 갖는 하나 이상의 유기아미노실란 전구체 화합물로서, 상기 화학식에서 R은 할라이드(Cl, Br 및 I)로부터 선택되거나, 선형 또는 분지형 C1 내지 C6 알킬이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; R3은 선형 또는 분지형 C1 내지 C6 알킬로부터 선택되며; x = 0, 1 또는 2인 하나 이상의 유기아미노실란 전구체 화합물; 및 (b) LxHf(NR1R2)4 -x의 화학식을 갖는 하나 이상의 유기아미노하프늄 전구체 화합물로서, 상기 화학식에서 L은 시클로펜타디에닐 또는 알킬 치환된 시클로펜타디에닐이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나 R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; x = 0, 1 또는 2인 하나 이상의 유기아미노하프늄 전구체 화합물
을 포함하는 조성물을 주입하는 단계;
c) 반응기를 퍼지 가스로 퍼징하는 단계;
d) 반응기에 산소 함유 공급원을 주입하는 단계; 및
e) 반응기를 퍼지 가스로 퍼징하는 단계
를 포함하며, b) 내지 e)는 원하는 두께의 필름이 증착될 때까지 반복되는 것인, 규소, 하프늄 및 산소를 포함하는 필름을 기재 상에 증착하는 방법이 제공되며, 상기 방법은 100℃ ∼ 600℃ 범위의 온도에서 수행된다. 일부 실시양태에서, 단계 d)에서의 산소 함유 공급원은 물이기 때문에, 다른 산소 함유 공급원, 예컨대 오존, 산소 플라즈마는 기재 물질, 예를 들어 규소 또는 금속 질화물을 잠재적으로 산화시킬 수 있다.
전술한 방법의 일부 실시양태에서, 비스(디메틸아미노)디메틸실란 및 테트라키스(디메틸아미노)하프늄을 포함하는 조성물의 예는 약 2.00 중량%의 비스(디메틸아미노)디메틸실란, 약 3.00 중량%의 비스(디메틸아미노)디메틸실란, 약 4.00 중량%의 비스(디메틸아미노)디메틸실란, 약 5.00 중량%의 비스(디메틸아미노)디메틸실란, 약 10.00 중량%의 비스(디메틸아미노)디메틸실란, 약 20.00 중량%의 비스(디메틸아미노)디메틸실란, 약 30.00 중량%의 비스(디메틸아미노)디메틸실란, 약 40.00 중량%의 비스(디메틸아미노)디메틸실란, 약 50.00 중량%의 비스(디메틸아미노)디메틸실란, 약 60.00 중량%의 비스(디메틸아미노)디메틸실란, 약 70.00 중량%의 비스(디메틸아미노)디메틸실란, 약 80.00 중량%의 비스(디메틸아미노)디메틸실란, 약 90.00 중량%의 비스(디메틸아미노)디메틸실란을 포함하나, 이들로 한정되지 않는다.
전술한 방법의 다른 실시양태에서, 비스(에틸메틸아미노)디메틸실란 및 테트라키스(에틸메틸아미노)하프늄을 포함하는 조성물의 예는 약 2.00 중량%의 비스(에틸메틸아미노)디메틸실란, 약 3.00 중량%의 비스(에틸메틸아미노)디메틸실란, 약 4.00 중량%의 비스(에틸메틸아미노)디메틸실란, 약 5.00 중량%의 비스(에틸메틸아미노)디메틸실란, 약 10.00 중량%의 비스(에틸메틸아미노)디메틸실란, 약 20.00 중량%의 비스(에틸메틸아미노)디메틸실란, 약 30.00 중량%의 비스(에틸메틸아미노)디메틸실란, 약 40.00 중량%의 비스(에틸메틸아미노)디메틸실란, 약 50.00 중량%의 비스(에틸메틸아미노)디메틸실란, 약 60.00 중량%의 비스(에틸메틸아미노)디메틸실란, 약 70.00 중량%의 비스(에틸메틸아미노)디메틸실란, 약 80.00 중량%의 비스(에틸메틸아미노)디메틸실란, 약 90.00 중량%의 비스(에틸메틸아미노)디메틸실란을 포함하나, 이들로 한정되지는 않는다.
또 다른 측면에서, 규소 도핑된 산화하프늄 필름을 기재 상에 증착하는 방법으로서,
a) 반응기에 기재를 제공하는 단계;
b) 반응기에, LxHf(NR1R2)4 -x의 화학식을 갖는 하나 이상의 하프늄 전구체 화합물로서, 상기 화학식에서 L은 시클로펜타디에닐 또는 알킬 치환된 시클로펜타디에닐이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; x = 0, 1 또는 2인 하나 이상의 하프늄 전구체 화합물을 주입하는 단계;
c) 반응기를 퍼지 가스로 퍼징하는 단계;
d) 반응기에 산소 함유 공급원을 주입하는 단계; 및
e) 반응기를 퍼지 가스로 퍼징하는 단계;
f) 반응기에, (a) RxR3Si(NR1R2)3-x의 화학식을 갖는 하나 이상의 유기아미노실란 전구체 화합물로서, 상기 화학식에서 R은 할라이드(Cl, Br 및 I)로부터 선택되거나, 선형 또는 분지형 C1 내지 C6 알킬이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; R3은 선형 또는 분지형 C1 내지 C6 알킬로부터 선택되며; x = 0, 1 또는 2인 하나 이상의 유기아미노실란 전구체 화합물; 및 (b) LxHf(NR1R2)4 -x의 화학식을 갖는 하나 이상의 유기아미노하프늄 전구체 화합물로서, 상기 화학식에서 L은 시클로펜타디에닐 또는 알킬 치환된 시클로펜타디에닐이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나 R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; x = 0, 1 또는 2인 하나 이상의 유기아미노하프늄 전구체 화합물을 포함하는 조성물을 주입하는 단계;
g) 반응기를 퍼지 가스로 퍼징하는 단계;
h) 반응기에 산소 함유 공급원을 주입하는 단계; 및
i) 반응기를 퍼지 가스로 퍼징하는 단계
를 포함하며, b) 내지 e)는 원하는 두께의 필름이 증착될 때까지 반복되는 것인 방법이 제공되며, 상기 방법은 100℃ ∼ 600℃ 범위의 온도에서 수행된다. 일부 실시양태에서, 단계 d)에서의 산소 함유 공급원은 물이고, 다른 실시양태에서, 단계 d) 및 h)에서의 산소 함유 공급원은 둘 모두 물인데, 다른 산소 함유 공급원, 예컨대 오존, 산소 플라즈마가 기재 재료, 예컨대 규소 또는 금속 질화물을 잠재적으로 산화시킬 수 있기 때문이다.
또 다른 측면에서, 규소 도핑된 산화하프늄 필름을 기재 상에 증착하는 시스템으로서,
반응기 내의 기재; 및
(a) RxR3Si(NR1R2)3-x의 화학식을 갖는 하나 이상의 유기아미노실란 전구체 화합물로서, 상기 화학식에서 R은 할라이드(Cl, Br 및 I)로부터 선택되거나, 선형 또는 분지형 C1 내지 C6 알킬이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; R3은 선형 또는 분지형 C1 내지 C6 알킬로부터 선택되며; x = 0, 1 또는 2인 하나 이상의 유기아미노실란 전구체 화합물; 및 (b) LxHf(NR1R2)4 -x의 화학식을 갖는 하나 이상의 유기아미노하프늄 전구체 화합물로서, 상기 화학식에서 L은 시클로펜타디에닐 또는 알킬 치환된 시클로펜타디에닐이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나 R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; x = 0, 1 또는 2인 하나 이상의 유기아미노하프늄 전구체 화합물을 포함하는 조성물
을 포함하는 시스템이 제공되며, 상기 시스템은 100℃ ∼ 600℃ 범위의 온도에 있다.
다른 측면에서, 규소 도핑된 산화하프늄 필름을 증착하기 위한 조성물은 (c) 용매를 추가로 포함한다.
한 측면에서, 본 발명은 또한 하나 이상의 전구체 화합물을 이용하거나 용매와 함께 하나 이상의 전구체 화합물을 이용하는 용기 또는 컨테이너이며; 여기서 하나 이상의 전구체 화합물은 (a) RxR3Si(NR1R2)3-x의 화학식을 갖는 하나 이상의 유기아미노실란 전구체 화합물로서, 상기 화학식에서 R은 할라이드(Cl, Br 및 I)로부터 선택되거나, 선형 또는 분지형 C1 내지 C6 알킬이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; R3은 선형 또는 분지형 C1 내지 C6 알킬로부터 선택되며; x = 0, 1 또는 2인 하나 이상의 유기아미노실란 전구체 화합물; 및 (b) LxHf(NR1R2)4 -x의 화학식을 갖는 하나 이상의 유기아미노하프늄 전구체 화합물로서, 상기 화학식에서 L은 시클로펜타디에닐 또는 알킬 치환된 시클로펜타디에닐이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나 R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; x = 0, 1 또는 2인 하나 이상의 유기아미노하프늄 전구체 화합물로 이루어진 군으로부터 선택된다.
본원에 개시된 방법과 함께 사용될 수 있는 적합한 유기아미노실란 전구체의 예는 트리스(디메틸아미노)메틸실란, 트리스(디에틸아미노)메틸실란, 트리스(에틸메틸아미노)메틸실란, 트리스(피롤리디노)메틸실란, 트리스(디메틸아미노)에틸실란, 트리스(디에틸아미노)에틸실란, 트리스(에틸메틸아미노)에틸실란, 트리스(피롤리디노)에틸실란, 비스(디메틸아미노)디메틸실란, 비스(디에틸아미노)디메틸실란, 비스(에틸메틸아미노)디메틸실란, 비스(피롤리디노)디메틸실란, 비스(디메틸아미노)디에틸실란, 비스(디에틸아미노)디에틸실란, 비스(에틸메틸아미노)디에틸실란, 비스(피롤리디노)디에틸실란, 디메틸아미노트리메틸실란, 디에틸아미노트리메틸실란, 에틸메틸아미노트리메틸실란, 피롤리디노트리메틸실란, 디메틸아미노트리에틸실란, 디에틸아미노트리에틸실란, 에틸메틸아미노트리에틸실란, 피롤리디노트리에틸실란, 디메틸아미노페닐디메틸실란, 디에틸아미노페닐디메틸실란, 에틸메틸아미노페닐디메틸실란, 피롤리디노페닐디메틸실란, 트리스(디메틸아미노)페닐실란, 트리스(디에틸아미노)페닐실란, 트리스(에틸메틸아미노)페닐실란, 트리스(피롤리디노)페닐실란, 1-디메틸아미노-1,1,3,3,3-펜타메틸디실록산, 1,1,1,4,4,4-헥사키스(디메틸아미노)-1,4-디실라부탄, 2,5-비스(디메틸아미노)-2,5-디메틸-2,5-디실라헥산 및 이들의 조합을 포함하나 이들로 한정되지 않는다.
본원에 개시된 방법과 함께 사용될 수 있는 적합한 유기아미노하프늄 전구체의 예는 테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 테트라키스(에틸메틸아미노)하프늄(TEMAH), 테트라키스(피롤리디노)하프늄, 시클로펜타디에닐트리스(디메틸아미노)하프늄(CpHf(NMe2)3), 메틸시클로펜타디에닐트리스(디메틸아미노)하프늄(MeCpHf(NMe2)3), 에틸시클로펜타디에닐트리스(디메틸아미노)하프늄(EtCpHf(NMe2)3), 시클로펜타디에닐트리스(에틸메틸아미노)하프늄(CpHf(NMeEt)3), 메틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(MeCpHf(NMeEt)3), 에틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(EtCpHf(NMeEt)3), 시클로펜타디에닐트리스(디에틸아미노)하프늄(CpHf(NEt2)3), 메틸시클로펜타디에닐트리스(디에틸아미노)하프늄(MeCpHf(NEt2)3), 에틸시클로펜타디에닐트리스(디에틸아미노)하프늄(EtCpHf(NEt2)3), 비스(시클로펜타디에닐)비스(디메틸아미노)하프늄(Cp2Hf(NMe2)2), 비스(메틸시클로펜타디에닐)비스(디메틸아미노)하프늄((MeCp)2Hf(NMe2)2), 비스(에틸시클로펜타디에닐)비스(디메틸아미노)하프늄((EtCp)2Hf(NMe2)2), 비스(시클로펜타디에닐)비스(에틸메틸아미노)하프늄(Cp2Hf(NMeEt)2), 비스(메틸시클로펜타디에닐)비스(에틸메틸아미노)하프늄((MeCp)2Hf(NMeEt)2), 비스(에틸시클로펜타디에닐)비스(디에틸아미노)하프늄((EtCp)2Hf(NMeEt)2), 비스(시클로펜타디에닐)비스(디에틸아미노)하프늄((Cp2Hf(NEt2)2), 비스(메틸시클로펜타디에닐)비스(디에틸아미노)하프늄((MeCp)2Hf(NEt2)3), 비스(에틸시클로펜타디에닐)비스(디에틸아미노)하프늄((EtCp)2Hf(NEt2)2), (N-메틸-2,4-시클로펜타디엔-1-에탄아미노]비스(디메틸아미노)하프늄, (N-에틸-2,4-시클로펜타디엔-1-에탄아미노]비스(디메틸아미노)하프늄, (N-메틸-2,4-시클로펜타디엔-1-에탄아미노]비스(디에틸아미노)하프늄, (N-에틸-2,4-시클로펜타디엔-1-에탄아미노]비스(디에틸아미노)하프늄, (N-메틸-2,4-시클로펜타디엔-1-에탄아미노]비스(에틸메틸아미노)하프늄, (N-에틸-2,4-시클로펜타디엔-1-에탄아미노]비스(에틸메틸아미노)하프늄, 및 이들의 조합을 포함하나 이들로 한정되지 않는다..
본원에 기재된 조성물의 특정 실시양태에서, 예시적인 용매는, 제한 없이 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 실록산, 3차 아미노에테르 및 이들의 조합을 포함할 수 있다.
용매가 없는 배합물 중 유기아미노실란 전구체 화합물의 중량%는 0.10 ∼ 99.90 중량%; 또는 0.10 ∼ 30.00 중량%, 0.10 ∼ 20.00 중량%, 0.10 ∼ 10.00 중량%; 또는 5.00 ∼ 30.00 중량%, 5.00 ∼ 20.00 중량%, 5.00 ∼ 10.00 중량%, 0.10 ∼ 5.00 중량%로 변화할 수 있다.
용매가 있는 배합물 중 유기아미노실란 전구체 화합물의 중량%는 0.10 ∼ 99.90 중량%, 또는 10.00 ∼ 90.00 중량%, 또는 20.00 ∼ 80.00 중량%, 또는 30.00 ∼ 70.00 중량%, 또는 40.00 ∼ 60.00 중량%로 변화할 수 있다.
용매가 없는 배합물 중 유기아미노하프늄 전구체 화합물의 중량%는 0.10 ∼ 99.90 중량%; 또는 0.10 ∼ 30.00 중량%, 0.10 ∼ 20.00 중량%, 0.10 ∼ 10.00 중량%; 또는 5.00 ∼ 30.00 중량%, 5.00 ∼ 20.00 중량%, 5.00 ∼ 10.00 중량%, 0.10 ∼ 5.00 중량%로 변화할 수 있다.
용매가 있는 배합물 중 유기아미노하프늄 전구체 화합물의 중량%는 0.10 ∼ 99.90 중량%, 또는 10.00 ∼ 90.00 중량%, 또는 20.00 ∼ 80.00 중량%, 또는 30.00 ∼ 70.00 중량%, 또는 40.00 ∼ 60.00 중량%로 변화할 수 있다.
다른 측면에서, 본 발명은 또한, 개시된 조성물, 방법 및 시스템을 이용하여 도핑되는, 0.50 ∼ 8.00 몰%, 바람직하게는 2.00 ∼ 6.00 몰%, 가장 바람직하게는 2.00 ∼ 5.00 몰%의 규소 도핑 수준을 갖는 규소 도핑된 산화하프늄 필름이다. 일부 실시양태에서, 강유전성 재료는 하프늄, 규소 및 산소를 포함하고; 다른 실시양태에서, 강유전성 재료는 하프늄, 규소, 산소 및 탄소를 포함한다. 탄소의 함량은 약 1.00 at.% 이하, 약 0.50 at.% 이하, 약 0.10 at.% 이하, 약 0.01 at.% 이하일 수 있다. 또 다른 실시예에서, 강유전성 재료는 하프늄, 규소, 산소, 탄소 및 질소를 포함한다. 탄소의 함량은 약 1.00 at.% 이하, 약 0.50 at.% 이하, 약 0.10 at.% 이하, 약 0.01 at.% 이하일 수 있고, 질소의 함량은 약 1.00 at.% 이하, 약 0.50 at.% 이하, 약 0.10 at.% 이하, 약 0.01 at.% 이하일 수 있다.
또 다른 측면에서, 본 발명은 또한, 개시된 조성물, 방법 및 시스템을 사용하여 증착되는 규소 도핑 수준이 0.50 ∼ 8.00 몰%, 바람직하게는 2.00 ∼ 6.00 몰%, 가장 바람직하게는 3.00 ∼ 5.00 몰%인 규소 도핑된 산화하프늄 필름을 함유하는 강유전성 재료이다.
일부 실시양태에서, 조성물은 규소 함유 필름을 위한 반응기 챔버로의 직접 액체 주입을 통해 전달될 수 있다.
본 발명의 실시예는 단독으로 또는 서로 조합하여 인용될 수 있다.
명세서 전반에 걸쳐, "규소 도핑 수준"은 (Si at.%)/(Si at.% + Hf at.%), 즉 원자 Si 백분율을, XPS(X선 광전자 분광법)에 의해 측정된 원자 Si와 원자 Hf 백분율의 합으로 나눈 것으로 정의된다. 예를 들어, 규소 도핑된 산화하프늄 필름에서 3 몰% 규소 도핑 수준은, 산화하프늄 물질에서 100 Hf 원자 중 3개가 규소 원자로 치환되어, 규소 도핑된 하프늄의 Si:Hf 몰비가 3:97(3/(3+97) = 3 몰% 규소 도핑 수준)이 됨을 의미한다. 이 예에서, HfO2의 3 몰% 규소 도핑 수준은 XPS에 의해 측정된 1 at.%의 전체 Si 함량에 상응한다. 따라서, 0.5 ∼ 8 몰% 규소 도핑 수준은 XPS에 의해 측정된 0.17 at.% ∼ 2.67 at.%에 상응하고, 2 ∼ 6 몰% 규소 도핑 수준은 XPS에 의해 측정된 0.67 at.% ∼ 2.00 at.%에 상응한다. 규소 도핑 수준은 최대 2개의 소수점을 가질 수 있으며, 예를 들어, 산화하프늄 재료 내의 99 Hf 원자 중 2개가 규소 원자로 치환되고, 규소 도핑 수준은 2.02 몰%로 정의된다.
명세서 전반에서, "중량%"는 유기아미노실란 전구체의 중량/(유기아미노실란 전구체의 중량 + 유기아미노하프늄 전구체의 중량) 또는 유기아미노실란 전구체의 중량/(유기아미노 아미노 실란 전구체의 중량 + 유기아미노하프늄 전구체의 중량 + 용매의 중량)으로 정의된다. 중량%는 최대 2개의 소수점을 가질 수 있으며, 즉 0.10 ∼ 5.00 중량%의 범위가 소수점이 2개인 0.10 ∼ 5.00 중량%를 포괄한다.
명세서 전반에서, 용어 "약"은 백분율 또는 온도의 값 앞에, 값이 최대 10% 오차 막대를 가질 수 있음을 나타내기 위해 사용되며, 예를 들어 약 10.00 중량%는 9.00 중량% ∼ 11.00 중량%를 포괄한다. 마찬가지로, 약 2.00 중량%는 1.80 ∼ 2.20 중량% 중 임의의 백분율을 포괄한다.
상기 화학식 및 명세서 전반에서, 용어 "알킬"은 1 ∼ 10 개의 탄소 원자를 갖는 선형 또는 분지형 작용기를 나타낸다. 예시적인 선형 알킬기는 메틸, 에틸, 프로필, 부틸, 펜틸 및 헥실 기를 포함하지만 이들로 한정되지는 않는다. 예시적인 분지형 알킬기는 이소-프로필, 이소-부틸, sec-부틸, tert-부틸, 이소-펜틸, tert-펜틸, 이소-헥실 및 네오-헥실을 포함하나, 이들로 한정되지는 않는다. 특정 실시양태에서, 알킬기는 예를 들어 알콕시기, 디알킬아미노기 또는 이들의 조합에 부착된 하나 이상의 작용기를 가질 수 있지만, 이로 한정되지는 않는다. 다른 실시양태에서, 알킬기는 하나 이상의 작용기가 부착되어 있지 않다. 알킬기는 포화되거나 대안적으로 불포화될 수 있다.
명세서 전반에서, 용어 "알킬 탄화수소"는 선형 또는 분지형 C1 내지 C20 탄화수소, 환형 C6 내지 C20 탄화수소를 지칭한다. 예시적인 탄화수소는 헥산, 헵탄, 옥탄, 노난, 데칸, 도데칸, 시클로옥탄, 시클로노난, 시클로 칸, 에틸시클로헥산, 에틸시클로옥탄을 포함하나, 이들로 한정되지는 않는다.
명세서 전반에서, 용어 "방향족 탄화수소"는 C6 내지 C20 방향족 탄화수소를 지칭한다. 예시적인 방향족 탄화수소 n은 톨루엔, 메시틸렌을 포함하지만 이들 제한되지는 않는다.
특정 실시양태에서, 화학식의 치환기 R1과 R2는 함께 연결되어 고리 구조를 형성할 수 있다. 당업자는 R1과 R2가 서로 연결되어 고리를 형성하는 것을 이해할 것이다. 이들 실시양태에서, 고리 구조는 예를 들어 환형 알킬 고리와 같은 불포화 또는 예를 들어 아릴 고리와 같은 포화일 수 있다. 또한, 이들 실시양태에서, 고리 구조는 또한 하나 이상의 원자 또는 기로 치환되거나 비치환될 수 있다. 예시적인 환형 고리 기는 피롤리디노, 피페리디노 및 2,6-디메틸피페리디노 기를 포함하지만, 이들로 한정되지는 않는다. 그러나, 다른 실시양태에서, 치환기 R1 및 R2는 연결되지 않아서 고리 구조를 형성하지 않는다.
본 명세서 전체에서, 용어 "유기아미노기"는 R1R2N-을 나타내며, 여기서 R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택된다. 일부 경우에, R1과 R2는 결합되어 환형 고리 구조를 형성하고, 다른 경우에는 R1 및 R2는 결합되어 환형 고리 구조를 형성하지 않는다. R1과 R2가 결합되어 환형 고리를 형성하지 않는 예시적인 유기아미노기는 디메틸아미노, 에틸메틸아미노, 디에틸아미노를 포함하지만, 이들로 한정되지는 않는다.
R1 및 R2가 환형 고리를 형성하도록 연결된 유기 오르가노기의 예로는 피롤리디노(여기서 R1 = 프로필 및 R2 = Me), 피페리디노(여기서 R1 = 프로필 및 R2 = Et), 2,6-디메틸 피페리디노(여기서 R1 = 이소프로필 및 R2 = sec-부틸), 및 2,5-디메틸피롤리디노딜란(여기서, R1 = R2 = 이소프로필)이 있다.
본 명세서 전체에서, 용어 "알킬 치환된 시클로펜타디에닐"은 시클로펜타디에닐에 결합된 선형 또는 분지형 C1 내지 C6 탄화수소를 지칭한다. 예시적인 알킬 치환된 시클로펜타디에닐 기는 메틸시클로펜타디에닐, 에틸시클로펜타디에닐, 이소프로필시클로펜타디에닐, sec-부틸시클로펜타디에닐 및 tert-부틸시클로펜타디에닐을 포함하나, 이들로 한정되지는 않는다. 일부 특정 실시양태에서, 알킬기는 하프늄에 배위될 수 있는 질소 원자를 갖는다. 예를 들어 알킬의 예로는 N-메틸-2,4-시클로펜타디엔-1-에탄아민, N-에틸-2,4-시클로펜타디엔-1-에탄아민이 포함 되나, 이들로 한정되지는 않는다. 이러한 알킬 치환된 시클로펜타디에닐기를 갖는 유기아미노하프늄은 (N-메틸-2,4-시클로펜타디엔-1-에탄아미노]비스(디메틸아미노)하프늄, (N-에틸-2,4-시클로펜타디엔-1-에탄아미노]비스(디메틸아미노)하프늄, (N-메틸-2,4-시클로펜타디엔-1-에탄아미노]비스(디에틸아미노)하프늄, (N-에틸-2,4-시클로펜타디엔-1-에탄아미노]비스(디에틸아미노)하프늄, (N-메틸-2,4-시클로펜타디엔-1-에탄아미노]비스(에틸메틸아미노)하프늄, (N-에틸-2,4-시클로펜타디엔-1-에탄아미노]비스(에틸메틸아미노)하프늄, 및 이들의 조합을 포함하나 이들로 한정되지 않는다.
명세서 전반에 걸쳐, 용어 "조성물" 또는 "배합물"은 상호 교환 가능하다. 조성물은,
(1) (a) RxR3Si(NR1R2)3 -x의 화학식을 갖는 하나 이상의 유기아미노실란 전구체 화합물로서, 상기 화학식에서 R은 할라이드(Cl, Br 및 I)로부터 선택되거나, 선형 또는 분지형 C1 내지 C6 알킬이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; R3은 선형 또는 분지형 C1 내지 C6 알킬로부터 선택되며; x = 0, 1 또는 2인 하나 이상의 유기아미노실란 전구체 화합물; 및 (b) LxHf(NR1R2)4 -x의 화학식을 갖는 하나 이상의 유기아미노하프늄 전구체 화합물로서, 상기 화학식에서 L은 시클로펜타디에닐 또는 알킬 치환된 시클로펜타디에닐이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; x = 0, 1 또는 2인 하나 이상의 유기아미노하프늄 전구체 화합물; 및
(2) (a) RxR3Si(NR1R2)3 -x의 화학식을 갖는 하나 이상의 유기아미노실란 전구체 화합물로서, 상기 화학식에서 R은 할라이드(Cl, Br 및 I)로부터 선택되거나, 선형 또는 분지형 C1 내지 C6 알킬이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; R3은 선형 또는 분지형 C1 내지 C6 알킬로부터 선택되며; x = 0, 1 또는 2인 하나 이상의 유기아미노실란 전구체 화합물; 및 (b) LxHf(NR1R2)4 -x의 화학식을 갖는 하나 이상의 유기아미노하프늄 전구체 화합물로서, 상기 화학식에서 L은 시클로펜타디에닐 또는 알킬 치환된 시클로펜타디에닐이고; R1 및 R2는 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고; x = 0, 1 또는 2인 하나 이상의 유기아미노하프늄 전구체 화합물, 및 (c) 용매
로 이루어진 군으로부터 선택된다.
임의로, "조성물" 또는 "배합물"은 용매를 추가로 포함한다.
상기 기술한 하나 이상의 실시양태에서, 산소 함유 공급원은 산소 플라즈마, 오존, 수증기, 수증기 플라즈마, 불활성 기체가 존재하거나 부재하는 산화질소(예를 들어, N2O, NO, NO2) 플라즈마, 산화탄소(예를 들어, CO2, CO) 플라즈마 및 이들의 조합으로 이루어진 군으로부터 선택된 공급원이다.
특정 실시양태에서, 산소 함유 공급원은 불활성 기체를 추가로 포함한다. 이들 실시양태에서, 불활성 기체는 아르곤, 헬륨, 질소, 수소 및 이들의 조합으로 이루어진 군에서 선택된다.
대안적인 실시양태에서, 산소 함유 공급원은 불활성 가스를 포함하지 않는다.
명세서 전반에서, 용어 "ALD 또는 ALD 유사"는 하기 공정들을 포함하나 이들로 한정되지 않는 공정을 지칭한다: a) 규소 전구체 및 반응성 가스를 포함하는 각각의 반응물이 순차적으로 반응기, 예를 들어 단일 웨이퍼 ALD 반응기, 반-뱃치 ALD 반응기 또는 뱃치 퍼니스 ALD 반응기 내로 주입됨; b) 규소 전구체 및 반응성 가스를 포함하는 각각의 반응물을 기재를 반응기의 상이한 섹션으로 이동시키거나 회전시킴으로써 기재에 노출시키고, 각각의 섹션을 불활성 가스 커튼, 즉 공간적 ALD 반응기 또는 롤투롤 ALD 반응기에 의해 분리함. ALD 또는 ALD 유사 공정의 일반적인 사이클은 전술한 바와 같이 적어도 4개의 단계를 포함한다.
특정 실시양태에서, 본원에 기재된 방법을 사용하여 증착된 규소 도핑된 산화하프늄 필름은 오존, 물(H2O)(예를 들어, 탈이온수, 정제수 및/또는 증류수), 산소(O2), 산소 플라즈마, NO, N2O, NO2, 일산화탄소(CO), 이산화탄소(CO2) 및 이들의 조합을 포함하는 산소 함유 공급원의 존재하에 형성된다.
산소 함유 공급원은 예를 들어, 현장 또는 원격 플라즈마 발생기를 통과하여 산소, 예를 들어 산소 플라즈마, 산소 및 아르곤을 포함하는 플라즈마, 산소를 포함하는 플라즈마 및 헬륨, 오존 플라즈마, 물 플라즈마, 아산화 질소 플라즈마 또는 이산화탄소 플라즈마가 있다.
특정 실시양태에서, 산소 함유 공급원은 약 1 ∼ 약 2000 표준 입방 센티미터/분(sccm) 또는 약 1 ∼ 약 1000 sccm 범위의 유량으로 반응기에 주입되는 산소 공급원 가스를 포함한다.
산소 함유 공급원은 약 0.1 ∼ 약 100 초 범위의 시간 동안 주입될 수 있다.
하나의 특정 실시양태에서, 산소 함유 공급원은 온도가 10℃ 이상인 물을 포함한다.
필름이 PEALD 또는 플라즈마 강화식 환형 CVD 공정에 의해 증착되는 실시양태에서, 전구체 펄스는 LD 반응기의 부피에 따라 0.01 초 초과(예를 들어, 약 0.01 ∼ 약 0.1 초, 약 0.1 ∼ 약 0.5 초, 약 0.5 ∼ 약 10 초, 약 0.5 ∼ 약 20 초, 약 1 ∼ 약 100 초)의 펄스 지속 시간을 가질 수 있으며, 산소 함유 공급원은 0.01 초 미만(예를 들어, 약 0.001 ∼ 약 0.01 초)의 펄스 지속 시간을 가질 수 있다.
본원에 개시된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 소비되지 않은 반응물 및/또는 반응 부산물을 퍼지하는데 사용되는 퍼지 가스는 전구체와 반응하지 않는 불활성 가스이다.
예시적인 퍼지 가스는 아르곤(Ar), 질소(N2), 헬륨(He), 네온, 수소(H2) 및 이들의 혼합물을 포함하지만 이들로 한정되지는 않는다. 특정 실시양태에서, 퍼지 가스, 예를 들어 Ar은 약 10 ∼ 약 2000 sccm 범위의 유량으로 약 0.1 ∼ 1000 초 동안 반응기에 공급되어, 반응하지 않은 물질 및 반응기에 잔류할 수 있는 임의의 부산물을 퍼징한다.
전구체, 산소 공급원 및/또는 다른 전구체, 공급원 가스 및/또는 시약을 공급하는 각각의 단계는 생성된 유전체 막의 화학량론적 조성을 변경시키기 위해 공급 시간을 변경함으로써 수행될 수 있다.
규소 전구체, 산소 함유 공급원 또는 이들의 조합 중 하나 이상에 에너지를 가하여 반응을 유도하고, 기재 상에 규소 도핑된 산화하프늄을 형성한 다음, 생성된 필름을 강유전성 재료로서 적합한 사방정계 형태로 변환시킨다.
이러한 에너지는 열, 플라즈마, 펄스 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X선, e-빔, 광자, 원격 플라즈마 방법 및 이들의 조합에 의해 제공될 수 있지만, 이들로 한정되지는 않는다. 최대 1000℃의 온도에서 열 어닐링을 수행할 수 있다.
특정 실시예에서, 2차 RF 주파수 공급원은 기재 표면에서 플라즈마 특성을 변형하기 위해 사용될 수 있다.
증착이 플라즈마를 수반하는 실시양태에서, 플라즈마 생성 공정은 플라즈마가 반응기에서 직접 생성되는 직접 플라즈마 생성 공정를 포함할 수 있거나, 플라즈마가 외부에서 생성되어 반응기에 공급되는 원격 플라즈마 생성 공정를 포함할 수 있다.
하나 이상의 배합물은 다양한 방식으로 반응 챔버, 예를 들어 플라즈마 강화식 환형 CVD 또는 PEALD 반응기 또는 뱃치 퍼니스 타입 반응기에 전달될 수 있다.
한 실시양태에서, 액체 전달 시스템이 이용될 수 있다.
다른 실시양태에서, 하나 이상의 유기아미노실란 전구체 화합물 및/또는 하나 이상의 유기아미노하프늄 전구체 화합물 및/또는 규소 도핑된 산화하프늄을 증착하기 위한 용매를 포함하는 조성물을 이용하는 용기 또는 컨테이너가 본원에 기재되어 있다.
하나의 특정 실시예에서, 용기 또는 컨테이너(용기와 컨테이너는 상호 교환적임)는 하나 이상의 전구체를 증착 공정, 예를 들어 CVD 또는 ALD 공정을 위한 반응기로 전달할 수 있도록 적절한 밸브 및 피팅이 장착된 하나 이상의 가압 가능한 용기(바람직하게는 스테인레스 스틸)를 포함한다. 이 실시양태 또는 다른 실시양태에서, 하나 이상의 유기아미노실란 전구체 화합물 및 하나 이상의 유기아미노하프늄 전구체 화합물을 포함하는 조성물은 스테인레스 스틸로 구성된 가압 가능한 용기에 제공되며, 전구체의 순도는 98 중량% 이상 또는 99.5% 이상이며, 이는 아르곤(Ar), 질소(N2), 헬륨(He), 네온 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 불활성 가스뿐만 아니라 대부분의 반도체 적용에 적합하다.
특정 실시양태에서, 이러한 용기는 또한 원하는 경우 전구체를 하나 이상의 추가 전구체와 혼합하기 위한 수단을 가질 수 있다. 이들 또는 다른 실시양태에서, 용기(들)의 내용물은 추가 전구체와 사전 혼합될 수 있다.
특정 실시양태에서, 조성물 캐니스터로부터 반응 챔버로 연결되는 가스 라인은 공정 요건에 따라 하나 이상의 온도로 가열되고, 본 명세서에 기술된 조성물의 용기는 버블링을 위해 하나 이상의 온도로 유지된다. 다른 실시양태에서, 본원에 기재된 하나 이상의 유기아미노실란 전구체 화합물 및 하나 이상의 유기아미노하프늄 전구체 화합물을 포함하는 조성물은 직접 액체 주입을 위해 하나 이상의 온도로 유지된 기화기에 주입된다.
대안적인 실시예에서, 예를 들어 MSP Corporation(미국 미네소타주 쇼어뷰 소재)에 의해 제조된 터보 기화기가 조합된 액체 송달 및 플래쉬 기화 처리 유닛이 이용될 수 있어서, 낮은 휘발성 물질이 대량으로 전달될 수 있게 하며, 이는 전구체의 열 분해 없이 재현 가능한 수송 및 증착을 유도한다.
액체 전달 배합물에서, 본원에 기재된 전구체는 순수한 액체 형태로 전달될 수 있거나, 대안적으로 용매 배합물 또는 이를 포함하는 조성물에 사용될 수 있다. 따라서, 특정 실시양태에서, 전구체 배합물은 기재 상에 필름을 형성하기 위해 주어진 최종 용도 적용에서 바람직하고 유리할 수 있는 적합한 특성의 용매 성분(들)을 포함할 수 있다.
전술한 바와 같이, 배합물 중 하나 이상의 유기아미노실란 또는 유기아미노하프늄 전구체 화합물의 순도 수준은 신뢰할 수 있는 반도체 제조에 허용될 수 있을만큼 충분히 높다. 특정 실시양태에서, 본원에 기술된 하나 이상의 유기아미노실란 전구체 화합물은 하나 이상의 다음의 불순물, 즉 유리 아민, 유리 할라이드 또는 할로겐 이온 및 더 높은 분자량 종을 2 중량% 미만, 1 중량% 미만, 또는 0.5 중량% 미만 포함한다.
본원에 기술된 보다 높은 순도 수준의 규소 전구체는 정제, 흡착 및/또는 증류 공정 중 하나 이상을 통해 수득될 수 있다.
본 발명에 따른 유기아미노실란 또는 유기아미노하프늄 전구체 화합물 및/또는 유기아미노실란 또는 유기아미노하프늄 전구체 화합물을 포함하는 조성물은 바람직하게는 할라이드 이온이 실질적으로 없다. 본원에서 사용되는 용어 "실질적으로 없는"은 예를 들어 염화물 및 플루오라이드, 브로마이드 및 요오다이드와 같은 할라이드 이온(또는 할라이드)에 관한 것으로서, 5 ppm 미만 (중량 기준), 바람직하게는 3 ppm 미만, 보다 바람직하게는 1 ppm 미만, 가장 바람직하게는 0 ppm을 의미한다. 클로라이드는 유기아미노실란에 대한 분해 촉매로서 작용하는 것으로 알려져 있다. 최종 생성물에서 상당한 수준의 염화물은 유기아미노실란 전구체 화합물을 분해시킬 수 있다. 유기아미노실란의 점진적인 분해는 필름 증착 공정에 직접 영향을 주어 반도체 제조업체가 필름 사양을 충족시키기 어렵게할 수 있다. 또한, 저장 수명 또는 안정성은 배합물의 더 높은 분해 속도에 의해 부정적인 영향을 받아 1-2 년 저장 수명을 보장하기 어렵다.
본원에 기술된 방법의 한 실시양태에서, 플라즈마 강화식 순환 증착 공정, 예를 들어 PEALD 유사 공정 또는 PEALD가 사용될 수 있으며, 여기서 증착은 하나 이상의 유기아미노실란 전구체 화합물 및 산소 함유 공급원을 사용하여 수행된다. PEALD 유사 공정은 플라즈마 강화식 순환 CVD 공정으로 정의되지만 여전히 높은 컨포멀 하프늄, 규소 및 산소 함유 필름을 제공한다.
특정 실시양태에서, 전구체 캐니스터로부터 반응 챔버로 연결되는 가스 라인은 공정 요건 및 하나 이상의 유기아미노실란 및/또는 하나 이상의 유기아미노하프늄 전구체 화합물을 포함하는 하나 이상의 배합물의 용기에 따라 하나 이상의 온도로 가열된다. 직접 액체 주입(DLI)을 위해 하나 이상의 유기아미노하프늄 전구체 화합물을 실온에서 유지한다. 다른 실시양태에서, 하나 이상의 유기아미노실란 및/또는 하나 이상의 유기아미노하프늄 전구체 화합물을 포함하는 배합물은 직접 액체 주입을 위해 실온 내지 약 60℃ 범위의 하나 이상의 온도로 유지된 기화기에 주입된다.
아르곤 및/또는 다른 가스의 흐름은 캐리어 가스로서 사용되어 하나 이상의 유기아미노실란 및/또는 하나 이상의 유기아미노하프늄 전구체 화합물을 포함하는 하나 이상의 배합물의 증기를, 전구체 펄싱 동안에 반응 챔버로 전달하는 것에 조력할 수 있다.
특정 실시양태에서, 반응 챔버 공정 압력은 약 50 mTorr ∼ 10 Torr이다. 다른 실시양태에서, 반응 챔버 공정 압력은 최대 760 Torr(예를 들어, 약 50 mTorr ∼ 약 100 Torr)일 수 있다.
일반적인 PEALD 또는 PEALD 유사 공정, 예를 들어 PECCVD 공정에서, 기재, 예를 들어 산화규소 기재는 초기에 유기아미노실란 화합물 및/또는 유기아미노하프늄 전구체 화합물에 노출되는 반응 챔버 중에서 가열기 단계에서 가열되어, 복합체(들)가 기재의 표면에 화학적으로 흡착될 수 있게 한다.
퍼지 가스, 예를 들어 아르곤은 공정 챔버로부터 흡수되지 않은 과량의 복합체를 퍼징한다. 충분한 퍼징 후, 산소 공급원을 반응 챔버 내로 주입하여 흡수된 표면과 반응시킨 후, 또 다른 가스 퍼징을 수행하여 챔버로부터 반응 부산물을 제거할 수 있다. 원하는 필름 두께를 달성하기 위해 공정 사이클이 반복될 수 있다. 일부 경우에, 펌핑은 퍼지를 불활성 가스로 대체할 수 있거나 미반응 규소 전구체를 제거하기 위해 둘 다 사용될 수 있다.
이 실시양태 또는 다른 실시양태에서, 본 명세서에 기술된 방법의 단계는 다양한 순서로 수행될 수 있고, 순차적으로 수행될 수 있고, 동시에 수행될 수 있고(예를 들어, 다른 단계의 적어도 일부 동안), 이들의 임의의 조합으로 수행될 수 있다. 전구체 및 산소 공급원 가스를 공급하는 각각의 단계는 예를 들어, 생성된 유전체 필름의 화학량론적 조성을 변경시키기 위해 이들을 공급하는 시간의 지속 시간을 변화시킴으로써 수행될 수 있다. 또한, 전구체 또는 산화제 단계 후의 퍼징 시간은 <0.1로 최소화되어 처리량이 개선될 수 있다.
규소로 도핑된 산화하프늄을 증착하기 위해 다양한 시판의 ALD 반응기, 예를 들어 단일 웨이퍼, 반 뱃치, 뱃치 퍼니스 또는 롤투롤 반응기가 사용될 수 있다.
본원에 기재된 방법을 위한 공정 온도는, 종점으로서 다음의 온도들 중 하나 이상을 이용한다: 100℃, 125℃, 150℃, 175℃, 200℃, 225℃, 250℃, 275℃, 300℃, 325℃, 350℃, 375℃, 400℃, 425℃, 450℃, 500℃, 525℃, 550℃; 바람직하게는 200℃, 225℃, 250℃, 275℃, 300℃.
예시적인 온도 범위는 다음을 포함하지만 이들로 한정되지는 않는다: 약 200℃ ∼ 약 300℃; 또는 약 100℃ ∼ 약 300℃; 또는 약 150℃ ∼ 약 290℃; 또는 약 125℃ ∼ 약 280℃, 또는 약 250℃ ∼ 약 300℃.
본원에 기술된 방법의 또 다른 실시양태에서, ALD, ALD 유사, PEALD 또는 PEALD 유사 공정으로부터 증착된 필름 또는 그와 같은 증착된 필름은 처리 단계(후-증착)를 거쳐 강유전성 재료에 적합한 결정으로 변환된다. 처리 단계는 증착 단계의 적어도 일부 동안, 증착 단계 후, 및 이들의 조합으로 수행될 수 있다.
예시적인 후처리 단계는 제한 없이, 증착된 규소 도핑된 산화하프늄을 사방정계 상으로 변환시키기 위한, 고온 열 어닐링, 예를 들어 500℃ ∼ 1000℃, 또는 600℃ ∼ 900℃, 또는 600℃ 800℃의 온도에서의 급속 열 어닐링(RTA) 또는 플래시 램프 어닐링(FLA)을 통한 처리를 포함한다. 열처리는 한 단계 또는 여러 단계를 통해 수행될 수 있다. 다른 후처리, 예를 들어 플라즈마 처리; 자외선 (UV) 광 처리; 원자 램프; 전자 빔 처리 및 조합은 또한 필름의 하나 이상의 특성에 영향을 주기 위해 사용될 수 있다.
하나의 특정 실시양태에서, 증착 공정 동안, 증착된 필름이 간헐적으로 처리된다. 이러한 간헐적 또는 중간-증착 처리는, 예를 들어, 매 ALD 주기 후에, 모든 특정 수의 ALD 주기 후에, 예를 들어 제한 없이, 1회의 ALD 주기, 2회의 ALD 주기, 5회의 ALD 주기 또는 10회 이상의 ALD 주기마다 수행될 수 있다. 생성된 규소 도핑된 산화하프늄의 두께는 10Å ∼ 500Å, 또는 30Å ∼ 400Å, 또는 40Å ∼ 200Å, 또는 40Å ∼ 100Å, 또는 40Å ∼ 80Å의 범위이다.
전술한 바와 같이, 본 명세서에 기술된 방법은 기재의 적어도 일부 상에 규소 도핑된 산화하프늄 필름을 증착하는데 사용될 수 있다. 적합한 기재의 예는 규소, SiO2, 티타늄 질화물, 텅스텐 질화물, 탄탈 질화물, 바나듐 질화물, 금속, 예를 들어 구리, 티타늄, 텅스텐, 코발트, 루테늄, 백금 팔라듐, 알루미늄, 그리고 강유전성 장치의 제조에서 적합한 임의의 다른 전극 재료를 포함하지만 이들로 한정되지는 않는다.
필름은 다양한 후속 공정 단계, 예를 들어 화학적 기계적 평탄화(CMP) 및 이방성 에칭 공정과 상용될 수 있다.
증착된 필름은 컴퓨터 칩, 광학 장치, 자기 정보 저장 장치, 지지 물질 또는 기재 상의 코팅, 마이크로 전자 기계 시스템(MEMS), 나노 전자 기계 시스템, 박막 트랜지스터(TFT), 발광 다이오드(LED), 유기 발광 다이오드(OLED), IGZO 및 액정 디스플레이(LCD)를 포함하지만 이들로 한정되지 않는 응용분야를 갖는다. 생성된 고체 규소 도핑된 산화하프늄의 잠재적 사용은 얕은 트렌치 절연, 층간 유전체, 패시베이션 층, 에칭 정지 층, 이중 스페이서의 일부, 및 패터닝을 위한 희생 층을 포함하지만, 이들로 한정되지는 않는다.
실시예
하기 실시예에서, 달리 언급되지 않는 한, 특성은 기판으로서 5∼20Ω-cm의 저항률을 갖는 실리콘 웨이퍼, 또는 기판으로서의 TiN 500Å/Ti 50Å/열 SiO2 3000Å/Si 서브 구조를 갖는 PVD TiN 웨이퍼 상에 증착된 샘플 필름으로부터 얻어질 것이다. 모든 필름 증착은 샤워헤드 디자인을 갖는 CN-1 반응기를 이용하여 13.56 MHz 직접 플라즈마로 수행한다.
일반적인 공정 조건에서, 달리 언급되지 않는 한, 챔버 압력은 약 1 ∼ 약 5 Torr 범위의 압력으로 고정된다. 챔버 압력을 유지하기 위해 추가 불활성 가스가 사용된다.
배합물은 직접 액체 주입(DLI) 시스템(MSP Corp, USA)을 사용하여 증기로서 전달된다. 일반적으로 사용되는 RF 전력은 200 mm 웨이퍼의 전극 면적에서 300 W이다. 필름 증착은 열 ALD 및 플라즈마 강화식 ALD에 대해 표 1에 열거된 단계를 포함한다. 표 1의 단계 a 내지 d는 하나의 ALD 또는 PEALD 사이클을 구성하고, 달리 명시되지 않는 한, 원하는 필름 두께를 얻기 위해 총 100 또는 200 또는 300 또는 500 회 반복된다.
증착된 필름의 반응성 지수(RI) 및 두께는 엘립소미터를 사용하여 측정한다. 필름 불균일성은 표준 방정식: % 불균일 = ((최대 두께 - 최소 두께)/(2 * 평균 (avg) 두께))을 사용하여 계산한다. 필름 구조 및 조성은 푸리에 변환 적외선(FTIR) 분광법 및 X선 광전자 분광법(XPS)을 사용하여 분석한다. 필름의 밀도는 X선 반사 측정법(XRR)으로 측정한다.
Figure 112019105183574-pct00002
실시예 1.
산소 함유 공급원으로서의 오존과 비스(디메틸아미노)디메틸실란 및 테트라키스(디메틸아미노)하프늄을 포함하는 배합물 중 약 4.4 중량%의 비스(디메틸아미노)디메틸실란을 사용하는 ALD 규소 도핑된 산화하프늄.
규소 웨이퍼를 샤워헤드 디자인을 갖춘 CN-1 반응기에 13.56 MHz 직접 플라즈마로 로딩하고, 1 torr의 챔버 압력으로 200℃ 또는 250℃ 또는 300℃로 가열 하였다. 배합물로서의 비스(디메틸아미노)디메틸실란 및 테트라키스(디메틸아미노)하프늄을 포함하는 배합물 중 4.4 중량%의 비스(디메틸아미노)디메틸실란을, 분무기를 통해 50 mg/분 또는 100 mg/분의 흐름으로 DLI를 사용하여 반응기 내에 전달하였다.
ALD 사이클은 표 1에 제시된 공정 단계로 구성되었고 다음의 공정 파라미터를 사용한다:
a. 반응기에 배합물 전구체를 주입
아르곤 흐름: 1000 sccm
배합물 전구체 펄스: 1 ∼ 5 초
b. 불활성 가스 퍼지
아르곤 흐름: 1000 sccm
퍼징 시간: 20 초
c. 오존 주입
아르곤 흐름: 1000 sccm
오존 펄스: 5 ∼ 20 초
d. 퍼징
아르곤 흐름: 1000 sccm
퍼징 시간: 20 초
Figure 112019105183574-pct00003
표 2에 도시된 바와 같이, 0.5 ∼ 8 몰%의 규소 도핑 수준을 갖는 규소 도핑된 산화하프늄의 특정 두께를 제공하기 위해서, 단계 a 내지 d를 특정 수의 사이클 동안 반복하였다.
실시예 2.
산소 함유 공급원으로서의 물과 비스(디메틸아미노)디메틸실란 및 테트라키스(디메틸아미노)하프늄을 포함하는 배합물 중 약 4.4 중량%의 비스(디메틸아미노)디메틸실란을 사용하는 ALD 규소 도핑된 산화하프늄.
규소 웨이퍼를, 샤워헤드 디자인이 구비된 CN-1 반응기에 13.56 MHz 직접 플라즈마로 로딩하고, 1 torr의 챔버 압력으로 300℃로 가열한다.
배합물 전구체로서의 비스(디메틸아미노)디메틸실란 및 테트라키스(디메틸아미노)하프늄을 포함하는 배합물 중 약 4.4 중량%의 비스(디메틸아미노)디메틸실란을, 분무기를 통해 50 mg/분의 흐름으로 반응기에 DLI를 사용하여 전달한다.
ALD 사이클은 표 1에 제시된 공정 단계로 구성되며, 다음의 공정 파라미터를 사용한다:
a. 반응기에 배합물 전구체를 주입
아르곤 흐름: 1000 sccm
배합물 전구체 펄스: 1 ∼ 5 초
b. 불활성 가스 퍼지
아르곤 흐름: 1000 sccm
퍼징 시간: 20 초
c. 수증기 주입
아르곤 흐름: 1000 sccm
물 펄스: 1 ∼ 10 초
d. 퍼징
아르곤 흐름: 1000 sccm
퍼징 시간: 20 초
0.5 ∼ 8 몰%, 바람직하게는 2 ∼ 6 몰%, 가장 바람직하게는 3 ∼ 8 몰% 범위의 규소 도핑 수준을 갖는 규소 도핑된 산화하프늄의 특정 두께를 제공하기 위해서, 단계 a 내지 d를 특정 수의 사이클 동안 반복한다.
실시예 3.
산소 함유 공급원으로서의 산소 플라즈마와 비스(디메틸아미노)디메틸실란 및 테트라키스(디메틸아미노)하프늄을 포함하는 배합물 중 약 4.4 중량%의 비스(디메틸아미노)디메틸실란을 사용하는 ALD 규소 도핑된 산화하프늄.
규소 웨이퍼를, 샤워헤드 디자인을 갖춘 CN-1 반응기에 13.56 MHz 직접 플라즈마로 로딩하고, 1 torr의 챔버 압력으로 300℃로 가열한다.
배합물 전구체로서의 비스(디메틸아미노)디메틸실란 및 테트라키스(디메틸아미노)하프늄을 포함하는 배합물 중 약 4.4 중량%의 비스(디메틸아미노)디메틸실란은 분무기를 통해 50 mg/분의 흐름으로 DLI를 사용하여 반응기 내로 전달한다.
ALD 사이클은 표 1에 제시된 공정 단계로 구성되며, 다음과 같은 공정 파라미터를 사용한다:
a. 반응기에 배합물 전구체의 증기를 주입
아르곤 흐름: 1000 sccm
배합물 전구체 펄스: 1 ∼ 5 초
b. 불활성 가스 퍼지
아르곤 흐름: 1000 sccm
퍼징 시간: 20 초
c. 산소 플라즈마 주입
아르곤 흐름: 1000 sccm
산소 플라즈마 펄스: 2 ∼ 10 초
d. 퍼징
아르곤 흐름: 1000 sccm
퍼징 시간: 20 초
0.5 ∼ 8 몰%, 바람직하게는 2 ∼ 6 몰%, 가장 바람직하게는 3 ∼ 5 몰%의 규소 도핑 수준을 갖는 규소 도핑된 산화하프늄의 특정 두께를 제공하기 위해서, 단계 a 내지 d를 특정 수의 사이클 동안 반복한다.
실시예 4.
유기아미노실란 농도에 대한 배합물 융점의 의존성.
다양한 비율의 비스(디메틸아미노)디메틸실란(TDMAS)과 테트라키스(디메틸아미노)하프늄(TDMAH)을 혼합함으로써 몇몇 배합물을 생성하였다.
도 3에 도시된 바와 같이, 생성된 배합물에서 비스(디메틸아미노)디메틸실란의 농도를 변화시킴으로써, 비스(디메틸아미노)디메틸실란의 농도가 증가함에 따라 배합물의 융점(시차 주사 열량 측정법에 의해 측정됨)이 감소하여, 배합물의 융점이, 직접 액체 주입을 통해 배합물을 전달하기에 더 적합한 30℃ 이하로 조정된다.
실시예 5.
비스(디메틸아미노)디메틸실란 및 테트라키스(디메틸아미노)하프늄을 포함하는 배합물 중 약 9 중량%의 비스(디메틸아미노)디메틸실란의 열 안정성.
테트라키스(디메틸아미노)하프늄(TDMAH) 중 약 9 중량%의 비스(디메틸아미노)디메틸실란을 포함하는 배합물 약 1 g을, 질소 하에 밀봉된 스테인레스 스틸 관에서 60℃로 7일 동안 가열하였다.
1H 및 13C NMR 분광법에 의한 분석은, 검출 가능한 조성물의 분해 또는 변화가 없었음을 보여주었으며, 이는 유기아미노실란 및 유기아미노하프늄 성분 둘 다 동일한 아미노기, 즉 디메틸아미노기를 갖기 때문에 배합물이 안정적이고 증착에 적합하다는 것을 시사한다.
비교예 5.
비스(디메틸아미노)메틸실란 및 테트라키스(디메틸아미노)하프늄을 포함하는 배합물.
다양한 양의 비스(디메틸아미노)메틸실란을 테트라키스(디메틸아미노)하프늄에 첨가하여 몇몇 배합물을 생성하였다. 이러한 혼합물의 액체상을 1H와 13C NMR 분광법으로 분석할 때, 비스(디메틸아미노)메틸실란 및 테트라키스(디메틸아미노)하프늄은 상당한 양의 트리스(디메틸아미노)메틸실란과 디메틸아미노하프늄 하이드라이드 종과 일치하는 여러 다른 불순물과 함께 주요 구성 성분으로서 확인되었다. 이는 하나의 Si-H를 갖는 비스(디메틸아미노)메틸실란과 테트라키스(디메틸아미노)하프늄 사이에서 아미노/하이드라이드 교환이 발생했으며, 이들이 본 발명의 목적상 서로 상용되지 않음을 나타낸다.
전술한 실시양태의 예시 및 설명은 청구범위에 의해 정의되는 본 발명을 제한하는 것이 아니라, 예시적인 것으로서 이해되어야 한다. 쉽게 이해할 수 있는 바와 같이, 상기 기술한 특징들의 다양한 변형 및 조합은, 청구범위에 기재된 본 발명을 벗어나지 않으면서 활용될 수 있다. 이러한 변형은 이하의 청구범위의 범주내에 포함되는 것으로 의도된다.

Claims (30)

  1. 규소 도핑된 산화하프늄 필름을 증착하기 위한, 열 원자층 증착(ALD) 또는 플라즈마 강화식 원자층 증착(PEALD) 조성물로서,
    (a) RxR3Si(NR1R2)3-x의 화학식을 갖는 하나 이상의 유기아미노실란 전구체 화합물로서, 상기 화학식에서
    R은 선형 또는 분지형 C1 내지 C6 알킬이고;
    R1, R2 및 R3은 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서
    유기아미노기 중 R1과 R2는 결합되어 환형 고리 구조를 형성하거나, 결합되어 환형 고리 구조를 형성하지 않고;
    x = 0, 1 또는 2인 하나 이상의 유기아미노실란 전구체 화합물; 및
    (b) LxHf(NR1R2)4-x의 화학식을 갖는 하나 이상의 유기아미노하프늄 전구체 화합물로서, 상기 화학식에서
    L은 시클로펜타디에닐 또는 알킬 치환된 시클로펜타디에닐이고;
    R1 및 R2는 유기아미노기에서 선형 또는 분지형 C1 내지 C6 알킬로부터 독립적으로 선택되며; 여기서
    R1과 R2는 결합되어 환형 고리 구조를 형성하거나, R1과 R2는 결합되어 환형 고리 구조를 형성하지 않고;
    x = 0, 1 또는 2인 하나 이상의 유기아미노하프늄 전구체 화합물
    을 포함하며,
    융점이 ≤30℃이고,
    상기 하나 이상의 유기아미노실란 전구체 및 상기 하나 이상의 유기아미노하프늄 전구체는 동일한 유기아미노기를 가지는 것인 조성물.
  2. 삭제
  3. 제1항에 있어서, 하나 이상의 유기아미노실란 전구체 화합물은 트리스(디메틸아미노)메틸실란, 트리스(디에틸아미노)메틸실란, 트리스(에틸메틸아미노)메틸실란, 트리스(피롤리디노)메틸실란, 트리스(디메틸아미노)에틸실란, 트리스(디에틸아미노)에틸실란, 트리스(에틸메틸아미노)에틸실란, 트리스(피롤리디노)에틸실란, 비스(디메틸아미노)디메틸실란, 비스(디에틸아미노)디메틸실란, 비스(에틸메틸아미노)디메틸실란, 비스(피롤리디노)디메틸실란, 비스(디메틸아미노)디에틸실란, 비스(디에틸아미노)디에틸실란, 비스(에틸메틸아미노)디에틸실란, 비스(피롤리디노)디에틸실란, 디메틸아미노트리메틸실란, 디에틸아미노트리메틸실란, 에틸메틸아미노트리메틸실란, 피롤리디노트리메틸실란, 디메틸아미노트리에틸실란, 디에틸아미노트리에틸실란, 에틸메틸아미노트리에틸실란, 피롤리디노트리에틸실란 및 이들의 조합으로 이루어진 군으로부터 선택되고;
    하나 이상의 유기아미노하프늄 전구체 화합물은 테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 테트라키스(에틸메틸아미노)하프늄(TEMAH), 테트라키스(피롤리디노)하프늄, 시클로펜타디에닐트리스(디메틸아미노)하프늄(CpHf(NMe2)3), 메틸시클로펜타디에닐트리스(디메틸아미노)하프늄(MeCpHf(NMe2)3), 에틸시클로펜타디에닐트리스(디메틸아미노)하프늄(EtCpHf(NMe2)3), 시클로펜타디에닐트리스(에틸메틸아미노)하프늄(CpHf(NMeEt)3), 메틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(MeCpHf(NMeEt)3), 에틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(EtCpHf(NMeEt)3), 시클로펜타디에닐트리스(디에틸아미노)하프늄(CpHf(NEt2)3), 메틸시클로펜타디에닐트리스(디에틸아미노)하프늄(MeCpHf(NEt2)3), 에틸시클로펜타디에닐트리스(디에틸아미노)하프늄(EtCpHf(NEt2)3), 비스(시클로펜타디에닐)비스(디메틸아미노)하프늄(Cp2Hf(NMe2)2), 비스(메틸시클로펜타디에닐)비스(디메틸아미노)하프늄((MeCp)2Hf(NMe2)2), 비스(에틸시클로펜타디에닐)비스(디메틸아미노)하프늄((EtCp)2Hf(NMe2)2), 비스(시클로펜타디에닐)비스(에틸메틸아미노)하프늄(Cp2Hf(NMeEt)2), 비스(메틸시클로펜타디에닐)비스(에틸메틸아미노)하프늄((MeCp)2Hf(NMeEt)2), 비스(에틸시클로펜타디에닐)비스(에틸메틸아미노)하프늄((EtCp)2Hf(NMeEt)2), 비스(시클로펜타디에닐)비스(디에틸아미노)하프늄((Cp2Hf(NEt2)2), 비스(메틸시클로펜타디에닐)비스(디에틸아미노)하프늄((MeCp)2Hf(NEt2)3), 비스(에틸시클로펜타디에닐)비스(디에틸아미노)하프늄((EtCp)2Hf(NEt2)2) 및 이들의 조합으로 이루어진 군으로부터 선택되는 것인 조성물.
  4. 제1항에 있어서, 하나 이상의 유기아미노실란 전구체 화합물은 비스(디메틸아미노)디메틸실란이고; 하나 이상의 유기아미노하프늄 전구체 화합물은 테트라키스(디메틸아미노)하프늄인 조성물.
  5. 제1항에 있어서, 하나 이상의 유기아미노실란 전구체 화합물은 0.10 ∼ 99.90 중량%, 0.10 ∼ 30.00 중량%, 0.10 ∼ 20.00 중량%, 0.10 ∼ 10.00 중량%, 5.00 ∼ 30.00 중량%, 5.00 ∼ 20.00 중량%, 5.00 ∼ 10.00 중량%, 및 0.10 ∼ 5.00 중량%로 이루어진 군으로부터 선택된 범위를 갖고; 하나 이상의 유기아미노하프늄 전구체 화합물은 0.10 ∼ 99.00 중량%, 0.10 ∼ 30.00 중량%, 0.10 ∼ 20.00 중량%, 0.10 ∼ 10.00 중량%, 5.00 ∼ 30.00 중량%, 5.00 ∼ 20.00 중량%, 5.00 ∼ 10.00 중량%, 및 0.10 ∼ 5.00 중량%로 이루어진 군으로부터 선택된 범위를 갖는 것인 조성물.
  6. 제1항에 있어서, (c) 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 실록산, 3차 아미노에테르, 및 이들의 조합으로 이루어진 군으로부터 선택된 용매를 추가로 포함하고; 하나 이상의 유기아미노실란 전구체 화합물은 0.10 ∼ 99.90 중량%, 10.00 ∼ 90.00 중량%, 20.00 ∼ 80.00 중량%, 30.00 ∼ 70.00 중량%, 및 40.00 ∼ 60.00 중량%로 이루어진 군으로부터 선택된 범위를 가지며; 하나 이상의 유기아미노하프늄 전구체 화합물은 0.10 ∼ 99.00 중량%, 10.00 ∼ 90.00 중량%, 20.00 ∼ 80.00 중량%, 30.00 ∼ 70.00 중량%, 및 40.00 ∼ 60.00 중량%로 이루어진 군으로부터 선택된 범위를 갖는 것인 조성물.
  7. 규소, 하프늄 및 산소를 포함하는 필름을 기재 상에 증착하는 방법으로서,
    a) 반응기에 기재를 제공하는 단계;
    b) 반응기에, 제1항에 따르는 조성물을 주입하는 단계;
    c) 반응기를 퍼지 가스로 퍼징하는 단계;
    d) 반응기에 산소 함유 공급원을 주입하는 단계; 및
    e) 반응기를 퍼지 가스로 퍼징하는 단계
    를 포함하며,
    산소 함유 공급원은 산소 플라즈마, 오존, 수증기, 수증기 플라즈마, 산화질소 플라즈마, 산화탄소 플라즈마 및 이들의 조합으로 이루어진 군으로부터 선택되며;
    퍼지 가스는 아르곤(Ar), 질소(N2), 헬륨(He), 네온, 수소(H2) 및 이들의 조합으로 이루어진 군으로부터 선택되고;
    증착 공정은 열 원자층 증착(ALD), 플라즈마 강화식 원자층 증착(PEALD) 공정 및 이들의 조합으로 이루어진 군으로부터 선택되며;
    상기 방법은 100℃ ∼ 600℃ 범위의 온도에서 수행되고;
    b) 내지 e)는 원하는 두께의 필름이 증착될 때까지 반복되는 것인, 규소, 하프늄 및 산소를 포함하는 필름을 기재 상에 증착하는 방법.
  8. 제7항에 있어서, 조성물은 직접 액체 주입을 통해 전달되는 것인 방법.
  9. 삭제
  10. 제7항에 있어서, 조성물은
    트리스(디메틸아미노)메틸실란, 트리스(디에틸아미노)메틸실란, 트리스(에틸메틸아미노)메틸실란, 트리스(피롤리디노)메틸실란, 트리스(디메틸아미노)에틸실란, 트리스(디에틸아미노)에틸실란, 트리스(에틸메틸아미노)에틸실란, 트리스(피롤리디노)에틸실란, 비스(디메틸아미노)디메틸실란, 비스(디에틸아미노)디메틸실란, 비스(에틸메틸아미노)디메틸실란, 비스(피롤리디노)디메틸실란, 비스(디메틸아미노)디에틸실란, 비스(디에틸아미노)디에틸실란, 비스(에틸메틸아미노)디에틸실란, 비스(피롤리디노)디에틸실란, 디메틸아미노트리메틸실란, 디에틸아미노트리메틸실란, 에틸메틸아미노트리메틸실란, 피롤리디노트리메틸실란, 디메틸아미노트리에틸실란, 디에틸아미노트리에틸실란, 에틸메틸아미노트리에틸실란, 피롤리디노트리에틸실란 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 유기아미노실란 전구체 화합물; 및
    테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 테트라키스(에틸메틸아미노)하프늄(TEMAH), 테트라키스(피롤리디노)하프늄, 시클로펜타디에닐트리스(디메틸아미노)하프늄(CpHf(NMe2)3), 메틸시클로펜타디에닐트리스(디메틸아미노)하프늄(MeCpHf(NMe2)3), 에틸시클로펜타디에닐트리스(디메틸아미노)하프늄(EtCpHf(NMe2)3), 시클로펜타디에닐트리스(에틸메틸아미노)하프늄(CpHf(NMeEt)3), 메틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(MeCpHf(NMeEt)3), 에틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(EtCpHf(NMeEt)3), 시클로펜타디에닐트리스(디에틸아미노)하프늄(CpHf(NEt2)3), 메틸시클로펜타디에닐트리스(디에틸아미노)하프늄(MeCpHf(NEt2)3), 에틸시클로펜타디에닐트리스(디에틸아미노)하프늄(EtCpHf(NEt2)3), 비스(시클로펜타디에닐)비스(디메틸아미노)하프늄(Cp2Hf(NMe2)2), 비스(메틸시클로펜타디에닐)비스(디메틸아미노)하프늄((MeCp)2Hf(NMe2)2), 비스(에틸시클로펜타디에닐)비스(디메틸아미노)하프늄((EtCp)2Hf(NMe2)2), 비스(시클로펜타디에닐)비스(에틸메틸아미노)하프늄(Cp2Hf(NMeEt)2), 비스(메틸시클로펜타디에닐)비스(에틸메틸아미노)하프늄((MeCp)2Hf(NMeEt)2), 비스(에틸시클로펜타디에닐)비스(에틸메틸아미노)하프늄((EtCp)2Hf(NMeEt)2), 비스(시클로펜타디에닐)비스(디에틸아미노)하프늄((Cp2Hf(NEt2)2), 비스(메틸시클로펜타디에닐)비스(디에틸아미노)하프늄((MeCp)2Hf(NEt2)3), 비스(에틸시클로펜타디에닐)비스(디에틸아미노)하프늄((EtCp)2Hf(NEt2)2) 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 유기아미노하프늄 전구체 화합물을 포함하는 것인 방법.
  11. 제7항에 있어서, 조성물은 비스(디메틸아미노)디메틸실란 및 테트라키스(디메틸아미노)하프늄을 포함하는 것인 방법.
  12. 제7항에 있어서, 조성물은 (iii) 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 실록산, 3차 아미노에테르, 및 이들의 조합으로 이루어진 군으로부터 선택된 용매를 추가로 포함하는 것인 방법.
  13. 제7항에 있어서, 조성물은 직접 액체 주입을 통해 반응기 내로 전달되는 것인 방법.
  14. 제7항에 있어서, 산소 함유 공급원은 아르곤, 헬륨, 질소, 수소 및 이들의 조합으로 이루어진 군으로부터 선택된 불활성 기체를 추가로 포함하는 것인 방법.
  15. 규소, 하프늄 및 산소를 포함하는 필름을 기재 상에 증착하는 시스템으로서,
    반응기 내의 기재; 및
    제1항에 따른 조성물을 포함하며,
    시스템은 100℃ ∼ 600℃ 범위의 온도에 있는 것인, 규소, 하프늄 및 산소를 포함하는 필름을 기재 상에 증착하는 시스템.
  16. 삭제
  17. 제15항에 있어서, 조성물은
    트리스(디메틸아미노)메틸실란, 트리스(디에틸아미노)메틸실란, 트리스(에틸메틸아미노)메틸실란, 트리스(피롤리디노)메틸실란, 트리스(디메틸아미노)에틸실란, 트리스(디에틸아미노)에틸실란, 트리스(에틸메틸아미노)에틸실란, 트리스(피롤리디노)에틸실란, 비스(디메틸아미노)디메틸실란, 비스(디에틸아미노)디메틸실란, 비스(에틸메틸아미노)디메틸실란, 비스(피롤리디노)디메틸실란, 비스(디메틸아미노)디에틸실란, 비스(디에틸아미노)디에틸실란, 비스(에틸메틸아미노)디에틸실란, 비스(피롤리디노)디에틸실란, 디메틸아미노트리메틸실란, 디에틸아미노트리메틸실란, 에틸메틸아미노트리메틸실란, 피롤리디노트리메틸실란, 디메틸아미노트리에틸실란, 디에틸아미노트리에틸실란, 에틸메틸아미노트리에틸실란, 피롤리디노트리에틸실란 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 유기아미노실란 전구체 화합물; 및
    테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 테트라키스(에틸메틸아미노)하프늄(TEMAH), 테트라키스(피롤리디노)하프늄, 시클로펜타디에닐트리스(디메틸아미노)하프늄(CpHf(NMe2)3), 메틸시클로펜타디에닐트리스(디메틸아미노)하프늄(MeCpHf(NMe2)3), 에틸시클로펜타디에닐트리스(디메틸아미노)하프늄(EtCpHf(NMe2)3), 시클로펜타디에닐트리스(에틸메틸아미노)하프늄(CpHf(NMeEt)3), 메틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(MeCpHf(NMeEt)3), 에틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(EtCpHf(NMeEt)3), 시클로펜타디에닐트리스(디에틸아미노)하프늄(CpHf(NEt2)3), 메틸시클로펜타디에닐트리스(디에틸아미노)하프늄(MeCpHf(NEt2)3), 에틸시클로펜타디에닐트리스(디에틸아미노)하프늄(EtCpHf(NEt2)3), 비스(시클로펜타디에닐)비스(디메틸아미노)하프늄(Cp2Hf(NMe2)2), 비스(메틸시클로펜타디에닐)비스(디메틸아미노)하프늄((MeCp)2Hf(NMe2)2), 비스(에틸시클로펜타디에닐)비스(디메틸아미노)하프늄((EtCp)2Hf(NMe2)2), 비스(시클로펜타디에닐)비스(에틸메틸아미노)하프늄(Cp2Hf(NMeEt)2), 비스(메틸시클로펜타디에닐)비스(에틸메틸아미노)하프늄((MeCp)2Hf(NMeEt)2), 비스(에틸시클로펜타디에닐)비스(에틸메틸아미노)하프늄((EtCp)2Hf(NMeEt)2), 비스(시클로펜타디에닐)비스(디에틸아미노)하프늄((Cp2Hf(NEt2)2), 비스(메틸시클로펜타디에닐)비스(디에틸아미노)하프늄((MeCp)2Hf(NEt2)3), 비스(에틸시클로펜타디에닐)비스(디에틸아미노)하프늄((EtCp)2Hf(NEt2)2) 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 유기아미노하프늄 전구체 화합물을 포함하는 것인 시스템.
  18. 제15항에 있어서, 조성물은 비스(디메틸아미노)디메틸실란 및 테트라키스(디메틸아미노)하프늄을 포함하는 것인 시스템.
  19. 제15항에 있어서, 조성물은 (iii) 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 실록산, 3차 아미노에테르, 및 이들의 조합으로 이루어진 군으로부터 선택된 용매를 추가로 포함하는 것인 시스템.
  20. 강유전성 재료로서 적합한 규소 도핑된 산화하프늄 필름으로서,
    제1항에 따르는 조성물을 사용하여 증착되며;
    증착 공정은 열 원자층 증착(ALD), 플라즈마 강화식 원자층 증착(PEALD) 공정 및 이들의 조합으로 이루어진 군으로부터 선택되고;
    규소 도핑된 산화하프늄 필름은 2.00 ∼ 6.00 몰% 범위의 규소 도핑 수준을 갖는 것인, 강유전성 재료로서 적합한 규소 도핑된 산화하프늄 필름.
  21. 삭제
  22. 제20항에 있어서,
    하나 이상의 유기아미노실란 전구체 화합물은 트리스(디메틸아미노)메틸실란, 트리스(디에틸아미노)메틸실란, 트리스(에틸메틸아미노)메틸실란, 트리스(피롤리디노)메틸실란, 트리스(디메틸아미노)에틸실란, 트리스(디에틸아미노)에틸실란, 트리스(에틸메틸아미노)에틸실란, 트리스(피롤리디노)에틸실란, 비스(디메틸아미노)디메틸실란, 비스(디에틸아미노)디메틸실란, 비스(에틸메틸아미노)디메틸실란, 비스(피롤리디노)디메틸실란, 비스(디메틸아미노)디에틸실란, 비스(디에틸아미노)디에틸실란, 비스(에틸메틸아미노)디에틸실란, 비스(피롤리디노)디에틸실란, 디메틸아미노트리메틸실란, 디에틸아미노트리메틸실란, 에틸메틸아미노트리메틸실란, 피롤리디노트리메틸실란, 디메틸아미노트리에틸실란, 디에틸아미노트리에틸실란, 에틸메틸아미노트리에틸실란, 피롤리디노트리에틸실란 및 이들의 조합으로 이루어진 군으로부터 선택되고;
    하나 이상의 유기아미노하프늄 전구체 화합물은 테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 테트라키스(에틸메틸아미노)하프늄(TEMAH), 테트라키스(피롤리디노)하프늄, 시클로펜타디에닐트리스(디메틸아미노)하프늄(CpHf(NMe2)3), 메틸시클로펜타디에닐트리스(디메틸아미노)하프늄(MeCpHf(NMe2)3), 에틸시클로펜타디에닐트리스(디메틸아미노)하프늄(EtCpHf(NMe2)3), 시클로펜타디에닐트리스(에틸메틸아미노)하프늄(CpHf(NMeEt)3), 메틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(MeCpHf(NMeEt)3), 에틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(EtCpHf(NMeEt)3), 시클로펜타디에닐트리스(디에틸아미노)하프늄(CpHf(NEt2)3), 메틸시클로펜타디에닐트리스(디에틸아미노)하프늄(MeCpHf(NEt2)3), 에틸시클로펜타디에닐트리스(디에틸아미노)하프늄(EtCpHf(NEt2)3), 비스(시클로펜타디에닐)비스(디메틸아미노)하프늄(Cp2Hf(NMe2)2), 비스(메틸시클로펜타디에닐)비스(디메틸아미노)하프늄((MeCp)2Hf(NMe2)2), 비스(에틸시클로펜타디에닐)비스(디메틸아미노)하프늄((EtCp)2Hf(NMe2)2), 비스(시클로펜타디에닐)비스(에틸메틸아미노)하프늄(Cp2Hf(NMeEt)2), 비스(메틸시클로펜타디에닐)비스(에틸메틸아미노)하프늄((MeCp)2Hf(NMeEt)2), 비스(에틸시클로펜타디에닐)비스(에틸메틸아미노)하프늄((EtCp)2Hf(NMeEt)2), 비스(시클로펜타디에닐)비스(디에틸아미노)하프늄((Cp2Hf(NEt2)2), 비스(메틸시클로펜타디에닐)비스(디에틸아미노)하프늄((MeCp)2Hf(NEt2)3), 비스(에틸시클로펜타디에닐)비스(디에틸아미노)하프늄((EtCp)2Hf(NEt2)2) 및 이들의 조합으로 이루어진 군으로부터 선택되는 것인 규소 도핑된 산화하프늄 필름.
  23. 제20항에 있어서, 조성물은 비스(디메틸아미노)디메틸실란 및 테트라키스(디메틸아미노)하프늄을 포함하는 것인 규소 도핑된 산화하프늄 필름.
  24. 제20항에 있어서, 조성물은 (3) 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 실록산, 3차 아미노에테르 및 이들의 조합으로 이루어진 군으로부터 선택된 용매를 추가로 포함하는 것인 규소 도핑된 산화하프늄 필름.
  25. 제1항에 따르는 조성물을 이용하는 용기로서,
    열 원자층 증착(ALD), 플라즈마 강화식 원자층 증착(PEALD) 공정 및 이들의 조합으로 이루어진 군으로부터 선택된 증착 공정을 위한 반응기로 조성물을 전달할 수 있도록 하는 밸브 및 피팅을 포함하는 가압 가능한 것인 용기.
  26. 삭제
  27. 제25항에 있어서,
    하나 이상의 유기아미노실란 전구체 화합물은 트리스(디메틸아미노)메틸실란, 트리스(디에틸아미노)메틸실란, 트리스(에틸메틸아미노)메틸실란, 트리스(피롤리디노)메틸실란, 트리스(디메틸아미노)에틸실란, 트리스(디에틸아미노)에틸실란, 트리스(에틸메틸아미노)에틸실란, 트리스(피롤리디노)에틸실란, 비스(디메틸아미노)디메틸실란, 비스(디에틸아미노)디메틸실란, 비스(에틸메틸아미노)디메틸실란, 비스(피롤리디노)디메틸실란, 비스(디메틸아미노)디에틸실란, 비스(디에틸아미노)디에틸실란, 비스(에틸메틸아미노)디에틸실란, 비스(피롤리디노)디에틸실란, 디메틸아미노트리메틸실란, 디에틸아미노트리메틸실란, 에틸메틸아미노트리메틸실란, 피롤리디노트리메틸실란, 디메틸아미노트리에틸실란, 디에틸아미노트리에틸실란, 에틸메틸아미노트리에틸실란, 피롤리디노트리에틸실란 및 이들의 조합으로 이루어진 군으로부터 선택되고;
    하나 이상의 유기아미노하프늄 전구체 화합물은 테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 테트라키스(에틸메틸아미노)하프늄(TEMAH), 테트라키스(피롤리디노)하프늄, 시클로펜타디에닐트리스(디메틸아미노)하프늄(CpHf(NMe2)3), 메틸시클로펜타디에닐트리스(디메틸아미노)하프늄(MeCpHf(NMe2)3), 에틸시클로펜타디에닐트리스(디메틸아미노)하프늄(EtCpHf(NMe2)3), 시클로펜타디에닐트리스(에틸메틸아미노)하프늄(CpHf(NMeEt)3), 메틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(MeCpHf(NMeEt)3), 에틸시클로펜타디에닐트리스(에틸메틸아미노)하프늄(EtCpHf(NMeEt)3), 시클로펜타디에닐트리스(디에틸아미노)하프늄(CpHf(NEt2)3), 메틸시클로펜타디에닐트리스(디에틸아미노)하프늄(MeCpHf(NEt2)3), 에틸시클로펜타디에닐트리스(디에틸아미노)하프늄(EtCpHf(NEt2)3), 비스(시클로펜타디에닐)비스(디메틸아미노)하프늄(Cp2Hf(NMe2)2), 비스(메틸시클로펜타디에닐)비스(디메틸아미노)하프늄((MeCp)2Hf(NMe2)2), 비스(에틸시클로펜타디에닐)비스(디메틸아미노)하프늄((EtCp)2Hf(NMe2)2), 비스(시클로펜타디에닐)비스(에틸메틸아미노)하프늄(Cp2Hf(NMeEt)2), 비스(메틸시클로펜타디에닐)비스(에틸메틸아미노)하프늄((MeCp)2Hf(NMeEt)2), 비스(에틸시클로펜타디에닐)비스(에틸메틸아미노)하프늄((EtCp)2Hf(NMeEt)2), 비스(시클로펜타디에닐)비스(디에틸아미노)하프늄((Cp2Hf(NEt2)2), 비스(메틸시클로펜타디에닐)비스(디에틸아미노)하프늄((MeCp)2Hf(NEt2)3), 비스(에틸시클로펜타디에닐)비스(디에틸아미노)하프늄((EtCp)2Hf(NEt2)2) 및 이들의 조합으로 이루어진 군으로부터 선택되는 것인 용기.
  28. 제25항에 있어서, 조성물은 비스(디메틸아미노)디메틸실란 및 테트라키스(디메틸아미노)하프늄을 포함하는 것인 용기.
  29. 제25항에 있어서, 조성물은 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 실록산, 3차 아미노에테르 및 이들의 조합으로 이루어진 군으로부터 선택된 용매를 추가로 포함하는 것인 용기.
  30. 제25항에 있어서, 조성물은 질소, 헬륨 및 아르곤, 및 이들의 조합으로 이루어진 군으로부터 선택된 불활성 기체를 추가로 포함하는 것인 용기.
KR1020197030367A 2017-03-15 2018-03-14 강유전성 재료로서의 규소 도핑된 산화하프늄의 증착을 위한 신규한 배합물 KR102363103B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762471647P 2017-03-15 2017-03-15
US62/471,647 2017-03-15
US201762477812P 2017-03-28 2017-03-28
US62/477,812 2017-03-28
US15/914,968 2018-03-07
US15/914,968 US11081337B2 (en) 2017-03-15 2018-03-07 Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
PCT/US2018/022433 WO2018170126A1 (en) 2017-03-15 2018-03-14 New formulation for deposition of silicon doped hafnium oxide as ferroelectric materials

Publications (2)

Publication Number Publication Date
KR20190120431A KR20190120431A (ko) 2019-10-23
KR102363103B1 true KR102363103B1 (ko) 2022-02-16

Family

ID=63519556

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197030367A KR102363103B1 (ko) 2017-03-15 2018-03-14 강유전성 재료로서의 규소 도핑된 산화하프늄의 증착을 위한 신규한 배합물

Country Status (8)

Country Link
US (1) US11081337B2 (ko)
EP (1) EP3596249A4 (ko)
JP (2) JP6916297B2 (ko)
KR (1) KR102363103B1 (ko)
CN (1) CN110573651B (ko)
SG (1) SG11201908485TA (ko)
TW (1) TWI734896B (ko)
WO (1) WO2018170126A1 (ko)

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
EP3688205A4 (en) * 2017-09-26 2021-11-03 Applied Materials, Inc. METHOD, MATERIALS AND PROCESS FOR REMOVING NATIVE OXIDE AND REGROWING DIELECTRIC OXIDES FOR BETTER BIOSENSOR PERFORMANCE
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10741678B2 (en) * 2017-10-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) * 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
DE102018213062B3 (de) * 2018-08-03 2019-11-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Integrierter elektronischer Schaltkreis mit einem ersten Transistor und einem ferroelektrischen Kondensator und Verfahren zu seiner Herstellung
DE102018213051A1 (de) * 2018-08-03 2020-02-06 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Spannungskontrollierbarer Kondensator mit ferroelektrischer Schicht und Verfahren zum Herstellen des spannungskontrollierbaren Kondensators mit ferroelektrischer Schicht
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811464B (zh) * 2018-10-04 2023-08-11 美商慧盛材料美國責任有限公司 用於高品質氧化矽薄膜的高溫原子層沉積的組合物
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
FR3090196B1 (fr) * 2018-12-18 2021-10-29 Commissariat Energie Atomique Procede de fabrication d’une memoire ferroelectrique et procede de co-fabrication d’une memoire ferroelectrique et d’une memoire resistive
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
DE102020100777A1 (de) * 2019-08-30 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Analoge nichtflüchtige Speichervorrichtung unter Verwendung eines polyferroelektrischen Films mit zufälligen Polarisationsrichtungen
US11380708B2 (en) 2019-08-30 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Analog non-volatile memory device using poly ferroelectric film with random polarization directions
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN114555859A (zh) * 2019-09-11 2022-05-27 弗萨姆材料美国有限责任公司 用于沉积硅掺杂的氧化铪的制剂
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102346432B1 (ko) * 2020-06-01 2021-12-31 동의대학교 산학협력단 강유전체를 이용하여 충전 효율을 개선한 디지털 콘덴서의 구조 및 제조방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11302529B2 (en) * 2020-07-09 2022-04-12 Taiwan Semiconductor Manufacturing Company Ltd. Seed layer for ferroelectric memory device and manufacturing method thereof
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
WO2022064314A1 (ja) * 2020-09-25 2022-03-31 株式会社半導体エネルギー研究所 表示システム
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11706928B2 (en) * 2020-10-30 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for fabricating the same
CN112526663A (zh) * 2020-11-04 2021-03-19 浙江大学 一种基于原子层沉积的吸收膜及其制作方法
US11545506B2 (en) 2020-11-13 2023-01-03 Sandisk Technologies Llc Ferroelectric field effect transistors having enhanced memory window and methods of making the same
US11996462B2 (en) 2020-11-13 2024-05-28 Sandisk Technologies Llc Ferroelectric field effect transistors having enhanced memory window and methods of making the same
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102643460B1 (ko) * 2021-03-31 2024-03-05 오션브릿지 주식회사 박막 성장 억제용 화합물 및 이를 이용한 박막 형성방법
US20220352379A1 (en) * 2021-04-29 2022-11-03 Taiwan Semiconductor Manufacturing Company Limited Ferroelectric memory devices having improved ferroelectric properties and methods of making the same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004100003A (ja) * 2002-09-11 2004-04-02 Asahi Denka Kogyo Kk イットリウム含有複合酸化物薄膜の製造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
JP3627106B2 (ja) * 2002-05-27 2005-03-09 株式会社高純度化学研究所 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
WO2006023501A2 (en) * 2004-08-16 2006-03-02 Aviza Technology, Inc. Direct liquid injection system and method for forming multi-component dielectric films
US20080299312A1 (en) 2004-09-02 2008-12-04 Atsushi Itsuki Raw Material Solution for Metal Organic Chemical Vapor Deposition Method and Method for Manufacturing Composite Oxide Film Containing Hf-Si Using the Raw Material Solution
CN101052741A (zh) * 2004-09-02 2007-10-10 三菱麻铁里亚尔株式会社 金属有机化学气相沉积法用原料液以及使用该原料液制备含Hf-Si的复合氧化物膜的方法
JP4363383B2 (ja) * 2004-09-02 2009-11-11 三菱マテリアル株式会社 有機金属化学気相成長法用原料液及び該原料液を用いたHf−Si含有複合酸化物膜の製造方法
JP2007194582A (ja) * 2005-12-20 2007-08-02 Tokyo Electron Ltd 高誘電体薄膜の改質方法及び半導体装置
EP2029790A1 (en) * 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080150003A1 (en) * 2006-12-20 2008-06-26 Jian Chen Electron blocking layers for electronic devices
US8952188B2 (en) 2009-10-23 2015-02-10 Air Products And Chemicals, Inc. Group 4 metal precursors for metal-containing films
CN102453866A (zh) * 2010-10-21 2012-05-16 中国科学院微电子研究所 一种高介电常数栅介质材料及其制备方法
KR101284664B1 (ko) 2010-12-31 2013-07-11 삼성전자주식회사 실릴아민 리간드가 포함된 유기금속화합물, 및 이를 전구체로 이용한 금속 산화물 또는 금속-규소 산화물의 박막 증착 방법
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2013109401A1 (en) * 2012-01-19 2013-07-25 Christian Dussarrat Silicon containing compounds for ald deposition of metal silicate films
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US8962078B2 (en) * 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
KR101993355B1 (ko) * 2013-03-13 2019-09-30 삼성전자주식회사 반도체 장치의 제조 방법
US9053802B2 (en) 2013-06-04 2015-06-09 Namlab Ggmbh Ferroelectric memory cell for an integrated circuit
US20160315163A1 (en) * 2016-06-30 2016-10-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Process for forming gate insulators for tft structures

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004100003A (ja) * 2002-09-11 2004-04-02 Asahi Denka Kogyo Kk イットリウム含有複合酸化物薄膜の製造方法

Also Published As

Publication number Publication date
CN110573651A (zh) 2019-12-13
TWI734896B (zh) 2021-08-01
WO2018170126A1 (en) 2018-09-20
TW201835373A (zh) 2018-10-01
JP6916297B2 (ja) 2021-08-11
JP2021180320A (ja) 2021-11-18
SG11201908485TA (en) 2019-10-30
JP7230126B2 (ja) 2023-02-28
EP3596249A4 (en) 2020-12-02
US11081337B2 (en) 2021-08-03
JP2020511797A (ja) 2020-04-16
KR20190120431A (ko) 2019-10-23
EP3596249A1 (en) 2020-01-22
CN110573651B (zh) 2022-07-22
US20180269057A1 (en) 2018-09-20

Similar Documents

Publication Publication Date Title
KR102363103B1 (ko) 강유전성 재료로서의 규소 도핑된 산화하프늄의 증착을 위한 신규한 배합물
KR102404960B1 (ko) 강유전성 재료로서의 규소 도핑된 산화하프늄의 증착을 위한 신규한 배합물
JP6670824B2 (ja) 第6族遷移金属含有フィルムの蒸着のための第6族フィルム形成組成物
KR102219147B1 (ko) 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
US9663547B2 (en) Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US9868753B2 (en) Germanium- and zirconium-containing composition for vapor deposition of zirconium-containing films
US11631580B2 (en) Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
KR20220116524A (ko) V족 원소 함유 막 형성 조성물 및 v족 원소 함유 막의 기상 증착
US20220282367A1 (en) Formulation for deposition of silicon doped hafnium oxide
WO2023200429A1 (en) Niobium vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right