KR102177681B1 - 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템 - Google Patents

다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템 Download PDF

Info

Publication number
KR102177681B1
KR102177681B1 KR1020207011567A KR20207011567A KR102177681B1 KR 102177681 B1 KR102177681 B1 KR 102177681B1 KR 1020207011567 A KR1020207011567 A KR 1020207011567A KR 20207011567 A KR20207011567 A KR 20207011567A KR 102177681 B1 KR102177681 B1 KR 102177681B1
Authority
KR
South Korea
Prior art keywords
target
target structure
symmetry
pattern elements
structures
Prior art date
Application number
KR1020207011567A
Other languages
English (en)
Other versions
KR20200045573A (ko
Inventor
다니엘 칸델
블라디미르 레빈스키
가이 코헨
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20200045573A publication Critical patent/KR20200045573A/ko
Application granted granted Critical
Publication of KR102177681B1 publication Critical patent/KR102177681B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means

Abstract

촬상 기반 계측에서 사용하기 위한 다층 오버레이 타겟이 개시된다. 오버레이 타겟은 3개 이상의 타겟 구조물을 포함한 복수의 타겟 구조물을 포함하고, 각 타겟 구조물은 2개 이상의 패턴 요소들의 집합을 포함하며, 타겟 구조물은 타겟 구조물의 정렬시에 공통 대칭 중심을 공유하도록 구성되고, 각 타겟 구조물은 공통 대칭 중심에 대한 N도(N도는 180도 이상임) 회전에 대하여 불변체이며, 2개 이상의 패턴 요소는 각각 개별적인 대칭 중심을 갖고, 각 타겟 구조물의 2개 이상의 패턴 요소들은 각각 개별적인 대칭 중심에 대한 M도(M도는 180도 이상임) 회전에 대하여 불변체이다.

Description

다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템{MULTI-LAYER OVERLAY METROLOGY TARGET AND COMPLIMENTARY OVERLAY METROLOGY MEASUREMENT SYSTEMS}
관련 출원에 대한 교차 참조
이 출원은 뒤에서 열거된 출원("관련 출원")의 가장 빠른 가용의 유효 출원 일자의 이익과 관련이 있고 그 이익을 주장한다(예를 들면, 가특허 출원 이외의 가장 빠른 가용의 우선권 일자를 주장하거나 관련 출원의 임의의 및 모든 부모, 조부모, 증조부모 등의 출원에 대하여 가특허 출원의 35 USC §119(e) 하의 이익을 주장한다).
관련 출원:
USPTO 특별법 필요조건에 따라서, 이 출원은 '다층 오버레이 계측'(MULTI-LAYER OVERLAY METROLOGY)의 명칭으로 2010년 8월 3일에 출원한 출원 일련 번호 61/370,341호(발명자: 대니얼 칸델, 블라디미르 레빈스키, 가이 코헨)의 미국 가특허 출원의 정식 특허 출원(가출원이 아님)을 구성한다.
기술 분야
본 발명은 일반적으로 오버레이 계측에서 사용되는 오버레이 타겟에 관한 것이고, 특히 다층 타겟 및 상보적 계측 시스템에 관한 것이다.
다양한 제조 및 생산 환경에 있어서, 주어진 샘플의 각종 층들 사이에서 또는 특정 층들 내에서 정렬(alignment)을 제어할 필요가 있다. 예를 들면, 반도체 처리와 관련해서, 반도체 기반 소자들은 각종의 구조물을 내포할 수 있는 일련의 층들을 기판 위에 제조함으로써 생산될 수 있다. 단일 층 내에서 및 다른 층들 내의 구조물과 관련한 상기 구조물들의 상대적인 위치는 소자의 성능에 중요하다. 각종 구조물들 간의 정렬 불량(misalignment)은 오버레이 오차(overlay error)라고 알려져 있다.
웨이퍼상에서 연속적인 패턴화 층들 간의 오버레이 오차의 측정은 집적 회로 및 소자의 제조시에 사용되는 가장 중요한 공정 제어 기술 중의 하나이다. 오버레이 정확성은 일반적으로 제1 패턴화 층을 그 위에 또는 그 아래에 배치되는 제2 패턴화 층과 관련하여 얼마나 정확하게 정렬하는지에 관한 결정 및 제1 패턴을 동일한 층에 배치되는 제2 패턴과 관련하여 얼마나 정확하게 정렬하는지에 관한 결정과 관계가 있다. 현재, 오버레이 측정은 웨이퍼의 층들과 함께 인쇄되는 테스트 패턴을 통해 수행된다. 이러한 테스트 패턴의 이미지들은 촬상 도구(imaging tool)를 통해 포착되고, 분석 알고리즘을 이용하여 상기 포착된 이미지로부터 패턴의 상대적 변위를 계산한다. 이러한 오버레이 계측 타겟(또는 '마크')은 일반적으로 2개의 층에 형성된 피쳐(feature)들을 포함하고, 상기 피쳐들은 층들의 피쳐들 간의 공간적 변위(즉, 층들 간의 오버레이 또는 변위)의 측정이 가능하도록 구성된다. 도 1a 내지 도 2b는 종래 기술의 전형적인 오버레이 타겟을 보인 것이다. 도 1a와 도 1b는 대칭 중심에 대해 각각 180도 및 90도의 회전 대칭을 가진 오버레이 타겟을 나타낸 것이다. 또한, 도 1a와 도 1b의 타겟 구조물은 90도 회전에 대하여 개별적으로 불변체(invariant)인 패턴 요소(예를 들면, 102a-108b)를 포함한다. 개별적인 패턴 요소의 90도 불변성 때문에, 도 1a 및 도 1b의 타겟(100, 101)의 패턴 요소들은 X-오버레이 측정 및 Y-오버레이 측정 둘 다에 적합하다.
도 2a와 도 2b는 90도 및 180도 회전에 대하여 불변성을 나타내는 타겟(200, 201)을 각각 보인 것이다. 도 1a 및 도 1b와는 대조적으로, 패턴 요소(예를 들면, 202a-208d)는 180도 회전 대칭만을 나타낸다. 그래서, X-방향 및 Y-방향 둘 다에서 오버레이를 측정하기 위해 적어도 2개의 별도의 직각으로 지향된 패턴 요소를 사용해야 한다. 예를 들면, 패턴 요소 202a, 204a, 202d 및 204d는 제1 방향에서 오버레이를 측정하기 위해 사용될 수 있고, 패턴 요소 202b, 204b, 204c 및 202c는 제1 방향에 직교하는 제2 방향에서 오버레이를 측정하기 위해 사용될 수 있다.
비록 기존의 타겟 및 타겟 측정 시스템이 많은 구현 관계에 적합하지만, 많은 개선이 이루어질 수 있는 것으로 예상된다. 여기에서 설명하는 본 발명은 개선된 계측 측정을 할 수 있는 타겟 및 장치를 개시한다.
촬상 기반 계측에서 사용하기 위한 다층 오버레이 타겟이 개시된다. 하나의 양태에 있어서, 다방향 오버레이 마크는, 비제한적인 예를 들자면, 3개 이상의 타겟 구조물을 포함한 복수의 타겟 구조물을 포함할 수 있고, 각 타겟 구조물은 2개 이상의 패턴 요소들의 집합을 포함하며, 타겟 구조물은 타겟 구조물의 정렬시에 공통의 대칭 중심을 공유하도록 구성되고, 각 타겟 구조물은 공통 대칭 중심에 대한 N도(N도는 180도 이상임) 회전에 대하여 불변체이며, 2개 이상의 패턴 요소는 각각 개별적인 대칭 중심을 갖고, 각 타겟 구조물의 2개 이상의 패턴 요소들은 각각 개별적인 대칭 중심에 대한 M도(M도는 180도 이상임) 회전에 대하여 불변체이다.
다른 하나의 양태에 있어서, 촬상 기반 계측에서 사용하기 위한 다층 오버레이 타겟은, 비제한적인 예를 들자면, 3개 이상의 타겟 구조물을 포함한 복수의 타겟 구조물을 포함할 수 있고, 여기에서 각 타겟 구조물은 2개 이상의 패턴 요소들의 집합을 포함하며, 타겟 구조물은 타겟 구조물의 정렬시에 공통의 대칭 중심을 공유하도록 구성되고, 각 타겟 구조물은 공통 대칭 중심에 대한 90도 회전에 대하여 불변체이며, 2개 이상의 패턴 요소는 각각 개별적인 대칭 중심을 갖고, 각 타겟 구조물의 2개 이상의 패턴 요소들은 각각 개별적인 대칭 중심에 대한 M도(M도는 180도 이상임) 회전에 대하여 불변체이다.
다층 오버레이 계측 타겟의 콘트라스트 향상에 적합한 장치가 개시된다. 하나의 양태에 있어서, 장치는, 비제한적인 예를 들자면, 조명원과; 조명원으로부터 방사된 광의 적어도 일부를 편광시키도록 구성된 제1 편광자와; 제1 편광자에 의해 처리된 광의 제1 부분을 목표 경로(object path)를 따라 하나 이상의 시료(specimen)의 표면에 지향시키고 제1 편광자에 의해 처리된 광의 제2 부분을 기준 경로를 따라 지향시키도록 구성된 빔 스플리터와; 1차(primary) 광축을 따라 배치되고 하나 이상의 시료의 표면으로부터 반사된 광의 일부를 모으도록 구성된 검출기와; 광이 검출기의 촬상면에 도달하기 전에 하나 이상의 시료의 표면으로부터 반사된 광의 적어도 일부를 분석하도록 구성된 제2 편광자를 포함할 수 있고, 여기에서 상기 제1 편광자와 제2 편광자는 하나 이상의 시료의 비패턴 부분으로부터 반사되어 검출기에 도달하는 광의 양을 최소화하도록 배열된다.
다른 하나의 양태에 있어서, 장치는, 비제한적인 예를 들자면, 조명원과; 1차 광축을 따라 배치되고 하나 이상의 시료의 표면으로부터 반사된 광의 일부를 모으도록 구성된 검출기와; 조명 경로의 동공면(pupil plane)에 위치되고 조명원으로부터 방사된 조명의 조명각- 조명각은 검출기의 촬상면에서 선택된 콘트라스트 레벨을 달성하기에 적합한 것임 -을 선택하도록 구성된 조리개와; 조리개를 통해 투과된 광의 제1 부분을 목표 경로를 따라 하나 이상의 시료의 표면에 지향시키고 조리개를 통해 투과된 광의 제2 부분을 기준 경로를 따라 지향시키도록 구성된 제1 빔 스플리터를 포함할 수 있다.
전술한 일반적인 설명 및 뒤에서의 구체적인 설명은 모두 단순히 예시적인 것이고 청구되는 발명을 제한하지 않는 것으로 이해하여야 한다. 이 명세서에 포함되어 이 명세서의 일부를 구성하는 첨부 도면은 발명의 각종 실시형태를 나타내며, 일반적 설명과 함께 본 발명의 원리를 설명하는 데 소용된다.
본 발명의 많은 장점은 첨부 도면을 참조함으로써 이 기술에 숙련된 사람에게 더 잘 이해될 수 있을 것이다.
도 1a는 오버레이 타겟의 상면도이다.
도 1b는 오버레이 타겟의 상면도이다.
도 2a는 오버레이 타겟의 상면도이다.
도 2b는 오버레이 타겟의 상면도이다.
도 3은 본 발명의 일 실시형태에 따른 다층 오버레이 타겟의 상면도이다.
도 4는 본 발명의 일 실시형태에 따른 다층 오버레이 타겟의 상면도이다.
도 5a는 본 발명의 일 실시형태에 따른 다층 오버레이 타겟의 상면도이다.
도 5b는 본 발명의 일 실시형태에 따른 다층 오버레이 타겟의 상면도이다.
도 6은 본 발명의 일 실시형태에 따른 다층 오버레이 타겟의 상면도이다.
도 7은 본 발명의 일 실시형태에 따른 다층 오버레이 타겟의 상면도이다.
도 8은 본 발명의 일 실시형태에 따른 더미 필의 존재하에 인쇄된 다층 오버레이 타겟의 상면도이다.
도 9는 본 발명의 일 실시형태에 따른 더미 필의 존재하에 인쇄된 다층 오버레이 타겟의 상면도이다.
도 10은 본 발명의 일 실시형태에 따른 더미 필의 존재하에 인쇄된 다층 오버레이 타겟의 상면도이다.
도 11은 다층 오버레이 계측 타겟의 콘트라스트 향상에 적합한 시스템의 블록도이다.
도 12는 다층 오버레이 계측 타겟의 콘트라스트 향상에 적합한 시스템의 블록도이다.
도 13a는 본 발명의 일 실시형태에 따른 콘트라스트 향상에 적합한 조명 동공 구조의 개략도이다.
도 13b는 본 발명의 일 실시형태에 따른 콘트라스트 향상에 적합한 조명 동공 구조의 개략도이다.
도 13c는 본 발명의 일 실시형태에 따른 콘트라스트 향상에 적합한 조명 동공 구조의 개략도이다.
이제, 첨부 도면에 도시한 본 발명의 실시형태를 상세히 설명한다.
도 3 내지 도 10을 전반적으로 참조하여, 촬상 기반 오버레이 계측에 적합한 오버레이 타겟이 본 발명에 따라서 설명된다. 일반적으로, 본 발명의 오버레이 타겟은 반도체 웨이퍼의 2개의 연속적인 공정 층들 간의 오버레이 오차를 결정하기 위해 사용될 수 있다. 예를 들면, 오버레이 타겟은 제2 반도체 층과 관련하여 제1 반도체 층의 정렬을 측정하기 위해 사용될 수 있고, 이때 제2층과 제1층은 연속적으로 배치된다. 추가로, 오버레이 타겟은 2개 이상의 상이한 처리(예를 들면, 리소그래픽 노광)에 의해 공통 반도체 층 위에 형성된 2개의 구조물 간의 정렬 오차를 결정하기 위해 사용될 수 있다. 예를 들면, 오버레이 타겟은 제2 패턴과 관련하여 제1 패턴의 정렬을 측정하기 위해 사용될 수 있고, 이때 제1 패턴과 제2 패턴은 동일한 반도체 층에 형성된 연속적인 패턴이다.
예를 들면, 2개 이상의 오버레이 타겟을 이용한 측정에 있어서, 오버레이 타겟은 제1 웨이퍼 층과 제2 웨이퍼 층의 특정 위치에 인쇄될 수 있고, 제1 및 제2 층이 적절히 정렬된 때 오버레이 타겟의 제1 구조물 및 제2 구조물의 패턴 요소들이 또한 정렬된다. 그러나, 제1층과 제2층이 '불일치'(misregister)된 때, 주어진 얇은 오버레이 마크(100)의 제1 구조물(102)과 제2 구조물(104)의 패턴 요소들 간에 상대적인 쉬프트(shift)가 존재하고, 쉬프트는 각종 기술을 통해 측정될 수 있다.
여기에서 설명하는 구조물 및 패턴 요소는, 비제한적인 예를 들자면, 포토리소그래픽, 에칭 및 증착 기술과 같은 반도체 웨이퍼 처리에 적합한 당업계에 공지된 임의의 처리를 이용하여 제조될 수 있다. 오버레이 타겟을 인쇄하는 방법 및 그들의 내포된 구조물, 패턴 요소 및 패턴 하위 요소(sub-element)는 2006년 2월 23일에 출원된 미국 출원 일련번호 제11/179,819호에 일반적으로 설명되어 있고, 이 미국 출원은 여기에서의 인용에 의해 본원에 통합된다.
도 3은 본 발명의 예시적인 실시형태에 따른, 촬상 기반 계측에 적합한 6층 오버레이 타겟(300)의 상면도이다. 하나의 양태에 있어서, 오버레이 타겟(300)은 3개 이상의 타겟 구조물을 포함할 수 있다. 타겟(300)의 다른 양태에 있어서, 오버레이 타겟(300)의 각 타겟 구조물은 2개 이상의 패턴 요소를 포함한다. 이 설명의 목적상, 타겟의 상이한 타겟 구조물을 표시하기 위해 도 2(및 이 명세서 전체의 도면)의 조직 패턴(texture pattern)이 사용되고, 여기에서 동일한 타겟 구조물에 속하는 패턴 요소들은 동일한 조직을 갖는다. 본 명세서의 각종 도면에 도시된 조직 패턴은 선택된 조직 패턴이 관련 패턴 요소의 구조적 양태를 대표하는 것이 아니고 단순히 동일한 타겟 구조물의 패턴 요소를 표시하기 위해 사용된 것이기 때문에 제한하는 것으로 해석되어서는 안된다. 예로서, 도 3에 도시된 것처럼, 타겟(300)은 6개의 타겟 구조물을 포함할 수 있다(각 구조물은 독특한 조직으로 예시되어 있다). 또한, 타겟(300)의 6개의 타겟 구조물은 각각 2개의 패턴 요소를 포함할 수 있다. 예를 들면, 도 3에 도시된 것처럼, 제1 구조물은 패턴 요소 302a와 302b를 포함하고, 제2 구조물은 패턴 요소 304a와 304b를 포함하며, 제3 구조물은 패턴 요소 306a와 306b를 포함하고, 제4 구조물은 패턴 요소 308a와 308b를 포함하고, 제5 구조물은 패턴 요소 310a와 310b를 포함하고, 제6 구조물은 패턴 요소 312a와 312b를 포함할 수 있다. 더 일반적으로, 타겟(300)의 주어진 구조물(즉, 제1, 제2, 제3, ..., 제N 구조물)은 2개의 패턴 요소로부터 제N 패턴 요소를 포함한 N개까지의 패턴 요소를 포함할 수 있다.
본 발명의 타겟(300)의 다른 양태에 있어서, 타겟(300)의 각 타겟 구조물은 공통 대칭 중심(110)에 대한 180도 회전에 대하여 각각 불변체로 되도록 설계된다. 예를 들면, 도 3에 도시된 것처럼, 타겟 구조물을 공통 대칭 중심(110)에 대해 180도만큼 회전시켰을 때, 구조물의 상면도 이미지가 회전 전의 구조물의 상면도 이미지와 동일하게 유지된다. 결국, 이 기술에 숙련된 사람이라면, 복수의 개별 구조물로 구성된 전체 타겟이, 적절히 정렬되었을 때, 공통 대칭 중심(110)에 대한 180도 회전에 대하여 불변체임을 이해할 것이다. 일 실시형태에 있어서, 도 3에 도시된 것처럼, 각 구조물의 2개의 패턴 요소는 서로 대각선 방향으로 대향하는 위치에 배치될 수 있고, 그 결과 오버레이 타겟 전체적으로 180도 회전 대칭을 발생한다.
여기에서, 공통 대칭 중심(110)에 대한 180도 회전에 대한 오버레이 타겟(300) 불변체의 활용은 2개 이상의 층들 사이에서 오버레이 계측시에 타겟(300)의 사용을 가능하게 하는 것으로 인정된다. 이 방식으로, 오버레이 계측 측정은 오버레이 타겟(300)에 존재하는 6개의 타겟 구조물의 임의의 쌍을 이용하여 수행될 수 있다. 더욱이, 타겟(300)의 각 구조물의 대칭 중심이 함께 있기(collocation) 때문에, 오버레이 계측 측정은 단일 이미지 그랩(grab)에서 6개의 구조물 모두로부터 획득될 수 있다.
제1층 및 제2층이 적절히 정렬될 때의 설계에 의해 제1 구조물과 제2 구조물이 공통 대칭 중심을 공유하지만, 제1층과 제2층 간에 정렬 불량이 있을 때 제1 구조물과 제2 구조물은 서로에 대하여 쉬프트(shift)된다는 것을 알아야 한다. 정렬 불량의 결과, 제1 구조물의 대칭 중심과 제2 구조물의 대칭 중심이 쉬프트될 것이고 제1 구조물과 제2 구조물의 대칭 중심은 더 이상 일치하지 않을 것이다. 이 개념은 본 발명의 주어진 타겟 내의 모든 구조물까지 확장될 수 있는 것으로 인정된다. 타겟(300)의 각종 구조물의 대칭 중심들 사이에서 상기 쉬프트를 측정함으로써 오버레이 측정이 가능하다. 여기에서 설명하는 타겟(200)과 관련하여 사용될 수 있는 측정 기술은 2007년 7월 30일자로 출원된 미국 출원 일련 번호 제11/830,782호 및 2005년 7월 11일자로 출원된 미국 출원 일련 번호 제11/179,819호에 설명되어 있으며, 상기 미국 출원들은 여기에서의 인용에 의해 본원에 통합된다.
다른 양태에 있어서, 타겟(300)의 각 구조물의 각 패턴 요소는 개별적인 대칭 중심을 갖는다. 더욱이, 타겟(300)의 패턴 요소는 각 패턴 요소(예를 들면, 302a-302b, 304a-304b 등)가 개별 패턴 요소의 대칭 중심에 대한 90°회전에 대하여 불변체로 되도록 설계된다. 타겟(300)의 각 구조물의 각 패턴 요소의 4중(4-fold) 회전 대칭의 결과로서, X-오버레이 및 Y-오버레이 측정은 동일한 패턴 요소를 이용하여 수행될 수 있다.
이 기술에 숙련된 사람이라면 도 3에 도시된 타겟 구조물의 수 및 타겟 구조물 내의 패턴 요소의 수는 제한되는 것이 아니고 본질적으로 예시하는 것으로 해석되어야 한다는 것을 이해할 것이다.
더욱이, 이 기술에 숙련된 사람이라면, 도 3에 도시된 것처럼 직사각형 타겟 영역의 사용은 제한되는 것이 아니고 일반적으로 각종의 마크 영역 형상(예를 들면, 정사각형, 사다리꼴, 평행사변형 또는 타원형)이 오버레이 타겟 경계의 주변을 특징화하기 위해 사용될 수 있다는 것을 이해할 것이다. 예를 들면, 주어진 타겟의 구조물의 집합은 그들의 최외곽 테두리가 타원형 또는 원형 타겟 영역을 형성하도록 배열될 수 있다.
일반적으로, 제1 구조물 및 제2 구조물의 각종 패턴 요소의 2차원 형상은 제한되지 않는다. 그래서, 도 3에 도시된 패턴 요소의 정사각형 형상은 제한하는 것이 아니고 단순히 예시하는 것으로 해석하여야 한다. 타겟 구조물(300))의 패턴 요소(예를 들면, 302a-312b)의 필요한 90도 회전 불변체를 생성할 수 있는 다양한 패턴 요소 형상이 존재하는 것으로 인정된다. 예를 들면, 타겟 구조물(300)의 패턴 요소는 정사각형, 십자형, 또는 다이아몬드 형상 등을 가진 패턴 요소를 포함할 수 있다.
다른 양태에 있어서, 도 3에 도시된 것처럼, 제1 구조물의 패턴 요소는 제2 구조물의 패턴 요소와 동일할 수 있다. 예를 들면, 타겟 구조물(300)의 모든 패턴 요소는 정사각형 형상을 가질 수 있다.
다른 양태에 있어서, 타겟 구조물(300)의 각종 구조물의 패턴 요소는 다를 수 있다. 예를 들면, 비록 도시하지는 않았지만, 제1 구조물의 패턴 요소(302a, 302b)는 제2 구조물의 패턴 요소(304a, 304b)와 다를 수 있다. 예를 들면, 제1 구조물의 패턴 요소(302a, 302b)는 정사각형 형상을 갖고, 제2 구조물의 패턴 요소(304a, 304b)는 '십자' 형상(도시 생략됨)을 가질 수 있다.
다른 양태에 있어서, 단일 타겟 구조물(즉, 제1 구조물 또는 제2 구조물) 내의 패턴 요소들의 형상은 균일할 수 있다. 더 구체적으로, 주어진 구조물 내의 패턴 요소들은 동일한 형상을 가질 수 있다. 예를 들면, 제3 타겟 구조물의 패턴 요소(306a, 306b)들은 둘 다 정사각형 형상을 가질 수 있다.
다른 양태에 있어서, 주어진 구조물(즉, 제1 구조물 또는 제2 구조물) 내의 패턴 요소들의 형상은 불균일할 수 있다(도시 생략됨). 더 구체적으로, 주어진 구조물은 2개 이상의 패턴 요소 형상을 포함할 수 있다. 예를 들면, 제4 구조물은 '십자' 형상(도시 생략됨)의 패턴 요소(308a) 및 정사각형 형상의 패턴 요소(308b)를 포함할 수 있다. 패턴 요소의 형상 및 패턴 요소의 방위가 그들의 공통 대칭 중심에 대한 180도 회전 불변체를 가진 타겟 구조물을 형성하고 각 타겟 구조물의 각 패턴 요소가 그 개별적인 대칭 중심에 대한 90도 회전 불변체를 가지면, 오버레이 타겟(300)의 타겟 구조물의 패턴 요소의 형상에 있어서 일반화된 제한은 없다는 것을 이해하여야 한다.
오버레이 타겟(300)의 구조물의 패턴 요소들은 각종의 공간 위치 집합에 따라서 배열될 수 있다. 예를 들면, 제1 구조물의 패턴 요소(302a, 302b), 제2 구조물의 패턴 요소(304a, 304b), 제3 구조물의 패턴 요소(306a, 306b), 제4 구조물의 패턴 요소(308a, 308b), 제5 구조물의 패턴 요소(310a, 310b), 및 제6 구조물의 패턴 요소(312a, 312b)는 이들이 주기적 또는 비주기적 패턴을 형성하도록 배열될 수 있다. 예를 들면, 도 3에 도시된 것처럼, 패턴 요소(302a-312b)의 2차원적 배열은 2차원의 주기적 어레이를 형성한다. 여기에서 타겟(300)의 180도 회전 불변체를 생성하는 데 적합한 다양한 배열이 있는 것으로 예상된다.
도 4는 본 발명의 다른 실시형태에 따른 오버레이 타겟(400)의 상면도이다. 타겟(300)과 관련하여 위에서 설명한 재료들은 다르게 명시하지 않는 한 본 발명의 다른 실시형태에도 적용할 수 있는 것으로 해석된다는 점에 주목한다.
위에서 설명한 타겟(300)에서와 마찬가지로, 다층 오버레이 타겟(400)은 3개 이상의 타겟 구조물을 포함하고, 각 타겟 구조물은 2개 이상의 패턴 요소를 포함할 수 있다. 예를 들면, 오버레이 타겟(400)은 6개의 타겟 구조물을 포함하고 각 타겟 구조물은 4개의 패턴 요소를 포함할 수 있다. 예를 들면, 도 4에 도시된 것처럼, 제1 구조물은 패턴 요소(402a, 402b, 402c, 402d)를 포함하고, 제2 구조물은 패턴 요소(404a, 404b, 404c, 404d)를 포함하며, 제3 구조물은 패턴 요소(406a, 406b, 406c, 406d)를 포함하는 등으로 될 수 있다. 일반적으로 말해서, 타겟(300)에서와 마찬가지로, 타겟(400)의 주어진 구조물(즉, 제1, 제2, 제3, ..., 또는 제N 구조물)은 2개의 패턴 요소로부터 제N 패턴 요소를 포함한 N개까지의 패턴 요소를 포함할 수 있다.
타겟(400)의 다른 태양에 있어서, 전술한 타겟(300)과 유사하게, 타겟(400)의 각 타겟 구조물은 각각이 공통 대칭 중심(110)에 대한 180도 회전에 대하여 불변체로 되고 그 결과 타겟(400)이 또한 180도 회전에 대하여 불변체로 되도록 설계된다. 예를 들면, 도 4에 도시된 것처럼, 타겟(400)의 제1 구조물의 패턴 요소(402a, 402b)는 패턴 요소(402c, 402d)로부터 대각선으로 지향되고, 제1 타겟 구조물이 그 대칭 중심(110)에 대한 180도 회전에 대하여 불변체로 되도록 배열된다. 그러나, 타겟(400)의 타겟 구조물은 90도 회전에 대하여 불변체가 아니라는 점에 주목한다.
상기 타겟(300)과 유사하게, 타겟(400)은 3개 이상의 층들 사이에서 오버레이 계측에 또한 이용될 수 있다. 결과적으로, 오버레이 계측 측정은 오버레이 타겟(400)에 존재하는 6개의 타겟 구조물의 임의의 쌍을 이용하여 수행될 수 있다. 더욱이, 타겟(400)의 각 구조물의 대칭 중심(110)이 함께 있기 때문에, 오버레이 계측 측정은 단일 이미지 그랩의 6개의 구조물 모두로부터 획득될 수 있다.
본 발명의 다른 양태에 있어서, 각 타겟 구조물에 대하여, X-오버레이 측정을 위하여 사용되는 패턴 요소의 집합(예를 들면, 402a와 402d)에 대한 대칭 중심은 Y-오버레이 측정을 위하여 사용되는 패턴 요소의 집합(예를 들면, 402b와 402c)에 대한 대칭 중심과 공존된다. 이러한 설계는 단일 "이미지 그랩"에서 X-오버레이 및 Y-오버레이 데이터의 동시 획득을 가능하게 하는 것으로 인정된다. 그래서, 종래의 오버레이 타겟과 비교해서 이동-획득-측정 시간이 크게 감소된다. 더욱이, 도 4에 도시한 설계는 현재 존재하는 계측 도구 절차 및 아키텍쳐와 호환성이 있는 것으로 또한 인정된다.
다른 양태에 있어서, 타겟(400)의 개별 패턴 요소는 각 패턴 요소(402a-402b, 404a-404b 등)가 개별 패턴 요소의 대칭 중심(112)에 대한 180° 회전에 대하여 불변체로 되도록 설계된다. 타겟(300)과는 대조적으로, 타겟(400)의 개별 패턴 요소는 개별 패턴 요소의 대칭 중심(112)에 대한 90° 회전에 대하여 불변체가 아닌 점에 주목한다. 그래서, 단일 패턴 요소(예를 들면, 402a)는 X-오버레이 및 Y-오버레이를 둘 다 측정하는 데 사용될 수 없다. 따라서, 각 개별 패턴 요소는 X-오버레이와 Y-오버레이 중 어느 하나를 측정하기 위해 사용될 수 있다. 예를 들면, 타겟(400)의 타겟 구조물은 X-오버레이를 위하여 지정된 패턴 요소 쌍 및 Y-오버레이를 위하여 지정된 패턴 요소 쌍으로 이루어진 패턴 요소의 쌍들을 포함한다. 도 4에 도시된 패턴 요소의 형상들은, 본 발명의 구현에 적합한 180도 회전 대칭(그러나 90도 회전 대칭은 아님)을 가진 다수의 다른 패턴 요소 형상이 존재할 수 있기 때문에, 제한하는 것으로 해석되지 않는다.
일반적으로, 공통 대칭 중심(110)에 대한 타겟 구조물의 180도 회전 대칭을 형성하고(그러나 90도 회전 대칭은 형성하지 않음) 각 패턴 요소의 대칭 중심(112)에 대한 개별 패턴 요소(예를 들면, 402a-412d)의 180도 회전 대칭을 형성하는(그러나 90도 회전 대칭은 형성하지 않음) 임의의 패턴 요소 및 타겟 구조물 방식은 본 발명의 구현을 위해 적합할 수 있다. 이 때문에, 도 4에 도시된 타겟 구조물 및 패턴 요소 방식은 단순히 예시하는 것으로 해석되어야 하고 제한하는 것으로 생각하여서는 안된다.
도 5a는 본 발명의 다른 실시형태에 따른 오버레이 타겟(500)의 상면도이다. 위에서 설명한 오버레이 타겟처럼, 다층 오버레이 타겟(500)은 3개 이상의 타겟 구조물을 포함하고, 각 타겟 구조물은 2개 이상의 패턴 요소를 포함할 수 있다. 예를 들면, 도 5a에 도시된 것처럼, 오버레이 타겟(500)은 6개의 타겟 구조물을 포함하고 각 타겟 구조물은 4개의 패턴 요소를 포함할 수 있다. 예를 들면, 도 5a에 도시된 것처럼, 제1 구조물은 패턴 요소(502a, 502b, 502c, 502d)를 포함하고, 제2 구조물은 패턴 요소(504a, 504b, 504c, 504d)를 포함하는 등으로 될 수 있다. 역시, 일반적으로 말해서, 타겟(500)의 주어진 구조물(즉, 제1, 제2, 제3, ..., 또는 제N 구조물)은 2개의 패턴 요소로부터 제N 패턴 요소를 포함한 N개까지의 패턴 요소를 포함할 수 있다.
타겟(300, 400)과 대조적으로, 타겟(500)의 각 타겟 구조물은 각각이 공통 대칭 중심(110)에 대한 90도 회전에 대하여 불변체로 되고 그 결과 타겟(500)이 또한 90도 회전에 대하여 불변체로 되도록 설계된다. 예를 들면, 도 5a에 도시된 것처럼, 타겟(500)의 제6 타겟 구조물의 패턴 요소(512a, 512b, 512c, 512d)는 제6 타겟 구조물이 그 대칭 중심(110)에 대한 90도 회전에 대하여 불변체로 되도록 배열된다.
다른 양태에 있어서, 타겟(500)의 개별 패턴 요소는 각 패턴 요소(502a-502d, 504a-504d 등)가 개별 패턴 요소의 대칭 중심(112)에 대한 180° 회전에 대하여 불변체로 되도록 설계된다. 역시, 타겟(500)의 패턴 요소는 개별 패턴 요소의 대칭 중심(112)에 대한 90° 회전에 대하여 불변체가 아니다. 그러므로, 타겟(400)에서와 마찬가지로, 단일 패턴 요소(예를 들면, 502a)는 X-오버레이 및 Y-오버레이를 둘 다 측정하는 데 사용될 수 없다. 그래서, 각 개별 패턴 요소는 X-오버레이와 Y-오버레이 중 어느 하나를 측정하기 위해 사용될 수 있다. 예를 들면, 타겟(500)의 타겟 구조물은 X-오버레이 측정을 위하여 지정된 하나의 쌍(502a와 502c) 및 Y-오버레이 측정을 위하여 지정된 하나의 쌍(502b와 502d)으로 이루어진 2개의 패턴 요소 쌍을 포함한다. 역시, 타겟(400)에서와 마찬가지로, 도 5에 도시된 패턴 요소의 형상은, 본 발명의 구현에 적합한 패턴 요소의 개별적 대칭 중심에 대한 180도 회전 대칭(그러나 90도 회전 대칭은 아님)을 가진 다수의 다른 패턴 요소 형상이 존재할 수 있기 때문에, 제한하는 것으로 해석되지 않는다.
일반적으로, 공통 대칭 중심(110)에 대한 타겟 구조물의 90도 회전 대칭을 형성하고 각 패턴 요소의 대칭 중심(112)에 대한 개별 패턴 요소(예를 들면, 502a-512d)의 180도 회전 대칭을 형성하는(그러나 90도 회전 대칭은 형성하지 않음) 임의의 패턴 요소 및 타겟 구조물 방식은 본 발명의 구현을 위해 적합할 수 있다. 이 때문에, 도 5에 도시된 타겟 구조물 및 패턴 요소 방식은 단순히 예시하는 것으로 해석되어야 하고 제한하는 것으로 생각하여서는 안된다.
도 5b는 본 발명의 다른 실시형태에 따른 오버레이 타겟(501)의 상면도이다. 위에서 설명한 오버레이 타겟처럼, 다층 타겟(501)은 3개 이상의 타겟 구조물을 포함하고, 각 타겟 구조물은 2개 이상의 패턴 요소를 포함할 수 있다. 예를 들면, 도 5b에 도시된 것처럼, 오버레이 타겟(501)은 6개의 타겟 구조물을 포함하고 각 타겟 구조물은 4개의 패턴 요소를 포함할 수 있다. 예를 들면, 도 5b에 도시된 것처럼, 제1 구조물은 패턴 요소(514a, 514b, 514c, 514d)를 포함하고, 제2 구조물은 패턴 요소(516a, 516b, 516c, 516d)를 포함하며, 제3 구조물은 패턴 요소(518a, 518b, 518c, 518d)를 포함하는 등으로 될 수 있다. 역시, 일반적으로 말해서, 타겟(501)의 주어진 구조물(즉, 제1, 제2, 제3, ..., 또는 제N 구조물)은 2개의 패턴 요소로부터 제N 패턴 요소를 포함한 N개까지의 패턴 요소를 포함할 수 있다.
도 5a와 대조적으로, 오버레이 타겟(501)은 180도 회전에 대하여 불변체로 되지만 90도 회전에 대해서는 불변체로 되지 않도록 설계된다. 이 방식으로, 타겟(501)의 각 타겟 구조물은 각각이 공통 대칭 중심(110)에 대한 180도 회전에 대하여 적어도 불변체로 되고 그 결과 타겟(501)이 또한 180도 회전에 대하여 불변체로 되도록 설계된다. 예를 들면, 도 5b에 도시된 것처럼, 타겟(501)의 제6 타겟 구조물의 패턴 요소(524a, 524b, 524c, 524d)는 제6 타겟 구조물이 그 대칭 중심(110)에 대한 180도 회전(그러나 90도 회전은 아님)에 대하여 불변체로 되도록 배열된다. 출원인은 오버레이 타겟(501)의 각각의 구성 타겟 구조물이 180도 회전 대칭으로 제한될 필요가 없다는 점에 주목한다. 예를 들면, 도 5b에 도시된 것처럼, 패턴 요소(518a, 518b, 518c, 518d)의 배열은 90도 회전으로 불변체인 타겟 구조물을 형성한다는 점에 주목한다. 그러나, 도 5b에 도시된 것처럼, 제6 타겟 구조물의 조합은, 나머지 타겟 구조물이 90도 회전 대칭이 없기 때문에, 90도 회전 대칭이 없지만 180도 회전 대칭을 가진 오버레이 타겟(501)을 형성한다.
일반적으로, 공통 대칭 중심(110)에 대한 타겟 구조물의 180도 회전 대칭을 형성하고 각 패턴 요소의 대칭 중심(112)에 대한 개별 패턴 요소(예를 들면, 514a-514d)의 180도 회전 대칭을 형성하는 임의의 패턴 요소 및 타겟 구조물 방식은 본 발명의 구현을 위해 적합할 수 있다. 이 때문에, 도 5b에 도시된 타겟 구조물 및 패턴 요소 방식은 단순히 예시하는 것으로 해석되어야 하고 제한하는 것으로 생각하여서는 안된다.
도 6은 본 발명의 다른 실시형태에 따른 오버레이 타겟(600)의 상면도이다. 위에서 설명한 오버레이 타겟의 각종 실시형태의 하나 이상의 타겟 구조물은 오버레이 계측 측정 처리에서의 구현에 적합한 충분한 콘트라스트가 부족할 수 있는 것으로 인정된다. 여기에서, 주어진 오버레이 타겟(600)의 하나 이상의 타겟 구조물은 전체 타겟 구조물 표면적을 증가시킴으로써 향상되고, 그에 따라 향상된 타겟 구조물의 정보 내용을 증가시킬 수 있는 것으로 예상된다. 예를 들면, 주어진 타겟 구조물에 포함되는 패턴 요소의 수는 주어진 타겟 구조물의 콘트라스트 레벨에 의해 결정될 수 있다. 예를 들면, 도 6에 도시된 것처럼, 타겟(600)의 제1 구조물은 원하는 것보다 더 낮은 콘트라스트 레벨을 가질 수 있다. 그래서, 타겟의 설계자는 타겟 구조물에 추가의 패턴 요소를 포함시킴으로써 콘트라스트를 향상시킬 수 있다. 이 방식으로, 타겟(600)의 제1 타겟 구조물은 타겟(600)의 나머지 타겟 구조물에 단지 2개의 패턴 요소만이 포함된 것과는 달리 총 4개의 패턴 요소(602a, 602b, 602c, 602d)를 포함한다.
주어진 타겟 구조물의 콘트라스트를 증가시키기 위해 사용되는 추가의 패턴 요소는 주어진 타겟에 대한 전체 설계 규칙 집합을 고수하도록 설계되어야 하는 것으로 또한 인정된다. 그래서, 추가의 패턴 요소는 위에서 설명한 타겟(300, 400, 500, 501)과 양립하는 방식으로 전체 타겟 구조물 및 개별 패턴 요소에 배치되는 대칭 필요조건을 고수하여야 한다.
예를 들면, 도 6에 도시된 것처럼, 패턴 요소(602a, 602b, 602c, 602d)는 전체 타겟(600)의 대칭 중심(110)에 대한 180도 회전 대칭을 유지한다. 결과적으로, 타겟(600)은 위에서 설명한 타겟(300, 400, 501)과 유사한 방식으로 대칭 중심(110)에 대한 180도 회전 대칭을 유지할 것이다. 또한, 역시 도 6에 도시된 것처럼, 패턴 요소(602a, 602b, 602c, 602d)는 위에서 설명한 타겟(200)과 양립하는 방식으로 개별 패턴 요소의 대칭 중심에 대한 90도 회전 대칭을 유지한다.
도 7은 본 발명의 다른 실시형태에 따른 오버레이 타겟(700)의 상면도이다. 여기에서, 타겟(700)의 각 타겟 구조물은 적당한 레벨의 정보 내용(즉, 콘트라스트)을 달성하는데 필요한 수의 패턴 요소를 포함하는 것으로 예상된다. 이 방식으로, 하나 이상의 타겟 구조물의 정보 내용은 콘트라스트가 부족한 타겟 구조물의 전체 타겟 구조물 면적을 증가시킴으로써 만족될 수 있다. 예를 들면, 도 7에 도시된 것처럼, 타겟(700)의 제1 구조물, 제2 구조물, 제3 구조물 및 제4 구조물은 다양한 정도의 정보 결핍을 가질 수 있다. 그래서, 설계자는 이러한 결핍을 메우기 위해 각 타겟 구조물의 패턴 요소의 수를 조정할 수 있다. 예를 들면, 최저 레벨의 콘트라스트를 가진 제1 구조물은 12개의 패턴 요소(702a, 702b, 702c, 702d, 702e, 702f, 702g, 702h, 702i, 702j, 702k, 702l)를 포함할 수 있다. 마찬가지로, 제2 및 제3 구조물은 유사한 레벨의 콘트라스트가 필요할 수 있고, 각각 총 8개의 패턴 요소를 포함한다. 제2 구조물은 패턴 요소(704a, 704b, 704c, 704d, 704e, 704f, 704g, 704h)를 포함하고, 제3 구조물은 패턴 요소(706a, 706b, 706c, 706d)를 포함한다. 이와 대조적으로, 타겟(700)의 제4 타겟 구조물은 콘트라스트 향상을 거의 요구하지 않거나 잔여 정보 내용을 가질 수 있다. 이 방식으로, 제4 표면 구조용으로 정상적으로 지정된 표면적은 오버레이 타겟(700)의 전체 표면적 필요조건을 유지하면서 상기 결핍 타겟 구조물에서의 콘트라스트를 구축하기 위해 다른 타겟 구조물 중의 하나에 재할당될 수 있다. 예를 들면, 제4 타겟 구조물은 4개의 패턴 요소(708a, 708b, 708c, 708d)만을 포함할 수 있다.
오버레이 타겟(700)의 타겟 구조물의 콘트라스트를 증가시키기 위해 사용되는 추가의 패턴 요소는 주어진 타겟에 대한 전체 설계 규칙 집합을 고수하도록 설계되어야 하는 것으로 또한 인정된다. 그래서, 추가의 패턴 요소는 위에서 설명한 타겟(400, 500, 501)과 양립하는 방식으로 전체 타겟 구조물 및 개별 패턴 요소에 배치되는 대칭 필요조건을 고수하여야 한다.
예를 들면, 도 7에 도시된 것처럼, 제2 타겟 구조물의 패턴 요소(704a, ..., 704h)는 전체 타겟(700)의 대칭 중심(110)에 대한 90도 회전 대칭을 유지하고, 제4 타겟 구조물의 패턴 요소(708a, ..., 708d)는 대칭 중심(110)에 대해 180도 회전 대칭을 갖는다. 결과적으로, 타겟(700)은 위에서 설명한 타겟(400, 501)과 유사한 방식으로 대칭 중심(110)에 대해 적어도 180도 회전 대칭을 유지할 것이다. 또한, 추가 패턴 요소의 전술한 활용은 오버레이 타겟이 도 5a에 도시한 타겟(500)과 유사하게 90도 회전 대칭을 갖도록 구현될 수 있는 것으로 인정된다.
또한, 역시 도 7에 도시된 것처럼, 개별 패턴 요소(702a...702l, 704a... 704h, 706a,...706h, 및 708a...708d)는 각각 위에서 설명한 타겟(400, 500, 501)과 양립하는 방식으로 각각의 개별 패턴 요소의 대칭 중심에 대해 180도 회전 대칭을 갖는다.
도 8은 본 발명의 다른 실시형태에 따른 더미 필(dummy fill)(801)의 존재하의 오버레이 타겟(800)의 상면도이다. X-오버레이 및 Y-오버레이 측정이 다른 패턴 요소를 이용하여 수행될 때, 오버레이 타겟(400, 500, 501)은 더미 필(801)의 존재하에 오버레이 계측 측정 처리가 가능한 것으로 인정된다. 예를 들면, 도 8은 더미 필(801)의 존재하에 구현되는 오버레이 타겟(800)을 보인 것이다. 예를 들면, 오버레이 타겟(800)은 6개의 타겟 구조물을 포함하고 각 타겟 구조물은 4개의 패턴 요소를 포함할 수 있다. 이 방식으로, 제1 구조물은 패턴 요소(802a...802d)를 포함하고, 제2 구조물은 패턴 요소(804a...804d)를 포함하고, 제3 구조물은 패턴 요소(806a...806d)를 포함하고, 제4 구조물은 패턴 요소(808a...808d)를 포함하고, 제5 구조물은 패턴 요소(810a...810d)를 포함하며, 제6 구조물은 패턴 요소(812a...812d)를 포함한다. 더욱이, 도 8의 예에서 각 타겟 구조물의 패턴 요소 중 2개는 X-오버레이 측정용으로 지정되고(예를 들면, 802a, 806a 또는 810a), 각 타겟 구조물의 나머지 2개의 패턴 요소는 Y-오버레이 측정용으로 지정된다(예를 들면, 812d, 808d 또는 804d).
추가의 실시형태에 있어서, 타겟(800)의 패턴 요소(예를 들면, 802a...812d)는 각각 복수의 하위 요소(sub-element)(803)를 포함한다. 예를 들면, 도 8에 도시된 것처럼, 각 패턴 요소(802a...812d)는 3개의 평행한 얇은 직사각형 형상을 가지며 주기적으로 간격을 둔 하위 요소(803)를 포함할 수 있다. 도 8에 도시된 하위 요소(803)의 형상 및 배열은 제한되는 것이 아니고 예시하는 것으로 해석되어야 한다는 점에 주목한다.
또한, 더미 필(801)은 도 8에 도시된 것처럼 오버레이 타겟(800)의 위 및 아래에 인쇄되는 주기적 격자 구조로 구성될 수 있는 것으로 인정된다.
추가의 실시형태에 있어서, 각 구조물의 각 패턴 요소(예를 들면, 802a...812d)의 하위 요소(803)는 더미 필(801) 구조의 격자 구조와 직교하게 정렬될 수 있다. 이 점에서, 더미 필(801)의 라인들은 하위 요소(803) 구조의 라인들과 수직하게 연장한다. 출원인은, 패턴 요소(예를 들면, 802a...812d)의 하위 요소(803)를 더미 필 구조(801)와 직교하게 정렬함으로써, 주어진 오버레이 타겟의 계측 신호가 하부의 더미 필 구조(801)로부터의 정보에 의해 오염되는 위험성을 완화시킨다는 것을 알았다.
위에서 설명한 타겟(400, 501)에서와 마찬가지로, 오버레이 타겟(800)은 타겟의 구성 타겟 구조물의 공통 대칭 중심에 대해 180도 회전 대칭을 갖고, 타겟(800)의 개별 패턴 요소(예를 들면, 802a...812d)는 각각의 개별 패턴 요소의 대칭 중심에 대해 180도 회전 대칭을 갖는 것으로 또한 인정된다.
추가의 실시형태에 있어서, 패턴 요소(예를 들면, 802a...812d)의 하위 요소(803)의 주기성, 더미 필 구조(801), 또는 이들 둘 다는 계측 시스템의 구현에 적합한 해상도 이하의 해상도로 구성될 수 있다. 특히, 1차 및 -1차 회절 차수는 계측 시스템의 촬상 시스템의 대물렌즈의 구경 외측에 놓일 수 있다. 여기에서, 이 특징은, 타겟(800)의 계측 신호가 더미 필 패턴(801)으로부터의 신호에 의해 오염될 위험성을 더욱 완화시키기 때문에 더미 필 구조의 경우에 특히 유리한 것으로 인정된다.
도 9는 본 발명의 다른 실시형태에 따른 더미 필(801)의 존재하의 오버레이 타겟(900)의 상면도이다. 타겟(900)은 동일한 대칭 필요조건뿐만 아니라 직교 패턴 요소 및 더미 필 정렬을 갖는다는 점에서 타겟(800)과 유사하다. 그러나, 타겟(900)은 계측 처리의 구현에 적합한 정사각형 치수의 타겟을 나타내고 있다.
도 10은 본 발명의 다른 실시형태에 따른 더미 필(801)의 존재하의 오버레이 타겟(1000)의 상면도이다. 타겟(1000)은 동일한 대칭 필요조건뿐만 아니라 직교 패턴 요소 및 더미 필 정렬을 갖는다는 점에서 타겟(800)과 유사하다. 그러나, 타겟(1000)은 도 6 및 도 7과 관련하여 위에서 설명한 것처럼 콘트라스트 향상의 구현을 나타내고 있다. 또한, 도 10은 오버레이 타겟(1000)의 중심에 위치된 획득 마크(acquisition mark)(1001)를 보여주고 있다. 획득 마크(1001)는 타겟을 주어진 계측 도구의 시야(field of view; FOV)의 중심에 위치시키기 위하여 타겟의 근사적인 중심 위치를 식별하기 위해 사용될 수 있다.
도 11 및 도 12를 참조하면, 본 발명에 따른 콘트라스트 향상에 적합한 시스템(1100, 1200)이 도시되어 있다. 여기에서 본 발명의 시스템(1100, 1200)은 위에서 설명한 각종의 다층 타겟을 구현할 수 있는 것으로 예상된다. 본 발명의 다층 타겟과 관련된 하나의 제한은 그들의 작은 측정 구조와 관련된 정보 내용(즉, 콘트라스트 레벨)의 부족 가능성을 포함한다. 시스템(1100, 1200)은 본 발명의 각종 다층 타겟의 하나 이상의 타겟 구조물에서의 낮은 콘트라스트의 존재를 중화(counteract)하기 위해 향상된 콘트라스트 레벨을 제공한다. 시스템(1100)은 본 발명의 다층 타겟의 타겟 구조물과 관련된 하나 이상의 측정 구조와 관련된 콘트라스트 레벨을 향상시키기 위해 구조화 조명을 사용한다. 또한, 시스템(1200)은 본 발명의 다층 타겟의 타겟 구조물과 관련된 하나 이상의 측정 구조와 관련된 콘트라스트 레벨을 향상시키기 위해 교차 편광을 사용한다.
여기에서 본 발명의 시스템(1100, 1200)은 현재 존재하는 광학 계측 시스템을 적응 또는 재구성함으로써 구성될 수 있다(그러나, 반드시 그렇게 구성할 필요는 없다). 예를 들면, 본 발명은 KLA-텐코 아커 100 오버레이 제어 시스템을 적응시킴으로써 구성될 수 있다. 예를 들면, 시스템(1200)의 경우에, 제1 선형 편광자가 종래 시스템(예를 들면, 아커 100 시스템)의 조명 경로에 삽입되고, 제2 선형 편광자가 종래 시스템의 촬상 경로 내에 배치될 수 있다. 시스템(1100)의 경우에는 조리개가 종래 시스템(예를 들면, 아커 100 시스템)의 조명 경로의 동공면에 삽입될 수 있다. 본 발명은 아커 100 시스템의 적응으로 제한되는 것이 아니고 위에서의 설명은 단순히 예시하는 것으로서 해석되어야 한다는 것을 알아야 한다. 본 발명은 다양한 현미경 및 오버레이 계측 시스템에까지 확장될 수 있는 것으로 예상된다.
이제, 도 11을 참조하면, 다층 오버레이 계측 타겟의 콘트라스트 향상에 적합한 시스템(1100)은 조명원(1102), 조리개(1104), 빔 스플리터(1108), 및 하나 이상의 시료(1114)(예를 들면, 웨이퍼 로트의 하나 이상의 웨이퍼)에서 반사된 광을 수신하도록 구성된 검출기(1110)를 포함할 수 있다.
시스템(1100)의 조명원(1102)은 이 기술 분야에서 공지된 임의의 조명원을 포함할 수 있다. 일 실시형태에 있어서, 조명원(1102)은 광대역 광원(예를 들면, 백색 광원)을 포함할 수 있다. 예를 들면, 조명원(1102)은 할로겐 광원(HLS)을 포함할 수 있다(그러나 이것으로 제한되는 것은 아님). 예를 들면, 할로겐 광원은 텅스텐 기반 할로겐 램프를 포함할 수 있다(그러나 이것으로 제한되는 것은 아님). 다른 실시예로서, 조명원(1102)은 제논(Xenon) 아크 램프를 포함할 수 있다.
본 발명의 다른 양태에 있어서, 시스템(1100)의 빔 스플리터(1108)는 조명원(1102)으로부터 방사된 광 빔을, 조리개를 통과한 후에, 2개의 경로, 즉 목표 경로(object path)(1112)와 기준 경로(reference path)(1113)로 분할할 수 있다. 이 점에서, 시스템(1100)의 목표 경로(1112)와 기준 경로(1113)는 2-빔 간섭 광학계의 일부를 형성할 수 있다. 예를 들면, 빔 스플리터(1108)는 조명 경로(1115)로부터의 광 빔의 제1 부분을 목표 경로(1112)를 따라 지향시키고, 조명 경로(1115)로부터의 광 빔의 제2 부분을 기준 경로(1113)를 따라 투과되도록 할 수 있다. 더 구체적으로, 빔 스플리터(1108)는 조명원(1102)에서 방사된 광의 일부를, 조리개(1104)를 통과한 후에, 시료대(1118) 위에 놓여진 시료(1114)의 표면에 (예를 들면, 목표 경로(1112)를 통해) 지향시킬 수 있다. 또한, 빔 스플리터(1108)는 조명원(1102)에서 방사된 광의 제2 부분을 기준 경로(1113)의 컴포넌트로 투과시킬 수 있다. 예를 들면, 빔 스플리터(1108)는 조명 경로(1115)로부터의 광의 일부를 기준 경로(1113)를 따라 기준 거울(도시 생략됨)에 투과시킬 수 있다. 이 기술에 숙련된 사람이라면 이 기술 분야에서 공지된 임의의 빔 스플리터가 본 발명의 빔 스플리터(1108)로서 구현될 수 있다는 것을 이해할 것이다.
이 기술에 숙련된 사람이라면 기준 경로(1113)는, 비제한적인 예로서, 기준 거울, 기준 대물렌즈, 및 기준 경로(1113)를 선택적으로 차단하도록 구성된 셔터를 포함할 수 있다는 것을 이해할 것이다. 일반적으로, 2-빔 간섭 광학계는 리니크(Linnik) 간섭계로서 구성될 수 있다. 리니크 간섭계는 1989년 4월 4일에 허여된 미국 특허 제4,818,110호 및 2001년 1월 9일에 허여된 미국 특허 제6,172,349호에 개략적으로 설명되어 있으며, 상기 특허들은 여기에서의 인용에 의해 본원에 통합된다.
다른 실시형태에 있어서, 시스템(1100)은 주 대물렌즈(1109)를 포함할 수 있다. 주 대물렌즈(1109)는 광을 목표 경로(1112)를 따라 시료단(1118) 위에 놓인 시료(1114)의 표면에 지향시키는 데 도움을 줄 수 있다. 예를 들면, 빔 스플리터(1108)는 조명원(1102)에서 방사된 광 빔(1115)의 일부를, 조리개(1104)를 통과한 후에, 목표 경로(1112)를 따라 지향시킬 수 있다. 빔 스플리터(1108)에 의한 분할 처리 후에, 주 대물렌즈(1109)는 1차(primary) 광축(1107)과 동일 선상에 있는 목표 경로(1112)로부터의 광을 시료(1114)의 표면에 집속할 수 있다. 일반적으로, 이 기술 분야에서 공지된 임의의 대물렌즈도 본 발명의 주 대물렌즈(1109)로서 구현될 수 있다.
또한, 시료(1114)의 표면에 부딪치는 광의 일부는 시료(1114)에 의해 반사되고 대물렌즈(1109) 및 빔 스플리터(1108)를 통해 1차 광축(1107)을 따라 검출기(1110)를 향해 지향될 수 있다. 중간 렌즈, 추가의 빔 스플리터(예를 들면, 광의 일부를 집속 시스템으로 분할하도록 구성된 빔 스플리터), 및 촬상 렌즈(1106) 등의 중간 광학 소자들이 대물 렌즈(1109)와 검출기(1110)의 촬상면 사이에 배치될 수 있는 것으로 또한 인정된다.
본 발명의 다른 양태에 있어서, 시스템(1100)의 검출기(1110)는 시스템(1100)의 1차 광축(1107)을 따라 배치될 수 있다. 이 점에서, 카메라(1110)가 시료(1114)의 표면으로부터 상 데이터(imagery data)를 수집하도록 배열될 수 있다. 예를 들면, 일반적으로, 광은 시료(1114)의 표면으로부터 반사한 후에, 주 대물렌즈(1109) 및 빔 스플리터(1108)를 경유하여 검출기(1110)의 촬상면까지 1차 광축(1107)을 따라 전파한다. 이 기술 분야에서 공지된 임의의 검출기도 본 발명의 구현을 위해 사용될 수 있는 것으로 인정된다. 예를 들면, 검출기(1110)는 전하 결합 소자(CCD) 기반 카메라 시스템을 포함할 수 있다. 다른 예로서, 검출기(1110)는 시간 지연 적분(time delay integration: TDI)-CCD 기반 카메라 시스템을 포함할 수 있다. 다른 양태에 있어서, 검출기(1110)는 컴퓨터 시스템(도시 생략됨)과 통신적으로 결합될 수 있다. 이 점에서, 디지털 상 데이터가 검출기(1110)로부터 유선 신호(예를 들면, 구리선, 광섬유 케이블 등) 또는 무선 신호(예를 들면, 무선 RF 신호)와 같은 신호를 통해 컴퓨터 시스템에 전송될 수 있다.
지금까지의 설명에서는 검출기(1110)가 시스템(1100)의 1차 광축(1107)을 따라 위치된 것으로서 설명하였지만, 이 특징은 필요조건으로서 해석되어서는 안된다. 여기에서, 검출기(1110)는 시스템(1100)의 추가의 광축을 따라 위치할 수 있는 것으로 예상된다. 예를 들면, 일반적으로, 시료(1114)의 표면으로부터 반사되어 목표 경로(1112)를 따라 전파하는 광의 일부를 목표 경로(1112)에 평행하지 않은 추가의 광축을 따르도록 전환하기 위해 하나 이상의 추가의 빔 스플리터가 사용될 수 있다. 카메라(1110)는 추가의 광축을 따라 전파하는 광이 카메라(1110)의 촬상면에 도달하도록 배열될 수 있다.
본 발명의 일 양태에 있어서, 조리개(1104)는 조명 경로(1115)의 동공면에 위치할 수 있다. 이 점에서, 조리개(1104)는 조명원(1102)에서 방사된 조명의 미리 정해진 조명 각을 선택하기 위해 프로파일이 뚜렷한 형상(well-defined shape)을 갖도록 구성될 수 있다. 조명 각은 검출기(1110)의 촬상면에서 선택된 콘트라스트 레벨을 달성하도록 선택된다.
일 실시형태에 있어서, 조리개는 소정의 기하학적 형상 또는 기하학적 형상들의 조합을 가질 수 있다. 예를 들면, 조리개는 'X' 형상 또는 '십자' 형상을 가질 수 있다. 다른 실시예로서, 조리개는 고리 형상을 가질 수 있다. 이러한 형상들은 회절 광학 요소를 통하여 달성될 수 있는 것으로 인정된다.
다른 실시형태에 있어서, 조명 경로는 복수의 조리개를 포함할 수 있다. 이 점에서, 복수의 조리개 중 하나는 특정 스택 및 타겟 설계를 위한 콘트라스트 레벨을 최적화하기 위해 레시피 훈련 중에 선택될 수 있다. 이것은 시행착오법을 이용하여 행하여질 수 있는 것으로 인정된다. 다른 실시형태에 있어서, 조리개(1104)는 동조형 조리개(tunable aperture)를 포함할 수 있다. 예를 들면, 조리개(1104)는 복수의 선택가능한 조명 구조를 생성하도록 사용자가 프로그램할 수 있는 동조형 조리개로 구성될 수 있다. 이 점에서, 프로그램된 동조형 조리개는 특정의 스택 또는 타겟 설계를 위해 콘트라스트를 최적화하는 방식으로 동조될 수 있다. 예를 들면, 동조형 조리개는 마이크로 미러 어레이를 포함할 수 있다(그러나 이것으로 제한되는 것은 아님).
이제, 도 12를 참조하면, 다층 오버레이 계측 타겟의 콘트라스트 향상에 적합한 시스템(1200)은 조명원(1202), 제1 편광자(1204), 빔 스플리터(1206), 제2 편광자(1208) 및 하나 이상의 시료(1212)(예를 들면, 웨이퍼 로트의 하나 이상의 웨이퍼)에서 반사된 광을 수신하도록 구성된 검출기(1210)를 포함할 수 있다.
여기에서, 조명원(1202), 빔 스플리터(1206), 검출기(1210), 시료단(1214) 및 기준 경로(1216)는 시스템(1100)의 조명원(1102), 빔 스플리터(1108), 검출기(1110), 시료단(1118) 및 기준 경로(1113)와 유사한 것으로 인정된다. 그래서, 시스템(1100)의 설명은 다르게 설명하는 것을 제외하고 시스템(1200)에까지 확대되는 것으로 해석되어야 한다.
하나의 양태에 있어서, 제1 편광자(1204)는 조명원(1202)에서 방사된 빛을 편광시키도록 배열된다. 예를 들면, 제1 편광자(1204)는 조명원(1202)에서 방사된 빛이 제1 편광자(1204)에 의해 편광될 수 있도록 조명 경로(1205)를 따라 배치될 수 있다.
다른 양태에 있어서, 제2 편광자(1208)는 시료(1202)에서 반사된 빛에 대한 분광기로서 소용되도록 배열될 수 있다. 이 점에서, 제1 편광자(1204)와 제2 편광자(1208)는 시료(1212)의 패턴화되지 않은 부분 또는 시료(1212)의 주기적인 비분석 패턴(unresolved pattern)으로부터 반사되어 검출기(1210)의 촬상면에 도달하는 광량을 최소화하도록 구성될 수 있다. 일 실시형태에 있어서, 제1 편광자(1204)와 제2 편광자(1208)는 둘 다 선형 편광자를 포함할 수 있다. 선형 편광자의 경우에, 제1 편광자(1204)와 제2 편광자(1208)는 그들의 편광 축이 서로에 대하여 실질적으로 수직하게 되도록 배열될 수 있다. 이 구성의 결과로서, 검출기(1210)의 촬상면에 도달하는 반사광의 대부분은 계측 도구에 의해 분석된 시료의 패턴으로부터 반사된 광으로 구성되어 콘트라스트를 크게 향상시킨다. 추가의 다른 실시형태에 있어서, 제1 편광자(1204)는 방사상으로 편광된 광만을 투과시키도록 구성된 편광자를 포함하고, 제2 편광자는 방위각으로 편광된 광만을 투과시키도록 구성될 수 있다.
시료(1212)의 패턴화되지 않은 부분으로부터의 신호는 다양한 다른 방식으로 최소화될 수 있는 것으로 또한 인정된다. 예를 들면, 파장판과 편광자의 조합은 위에서 설명한 결과를 달성하도록 구현될 수 있는 것으로 인정된다. 예를 들면, 제1 편광자(1204) 및 제1 편광자에 대하여 45도로 지향된 제1의 1/4 파장판(도시 생략됨)은 조명 경로(1205)에 위치되고, 제2 편광자(1208) 및 제2 편광자에 대하여 45도로 지향된 제2의 1/4 파장판(도시 생략됨)은 촬상 경로(1209)를 따라 위치될 수 있다. 이 기술에 숙련된 사람이라면 이러한 구성에 의해 검출기(1210)의 촬상면에 도달하는 시료(1212)의 패턴화되지 않은 부분으로부터 반사되는 광량이 최소화될 수 있다는 것을 이해할 것이다.
위에서 설명한 것처럼 교차 편광 효과를 생성하는 편광자와 파장판(예를 들면, 1/2 파장판)의 어떠한 조합도 본 발명의 구현에 적합할 수 있다는 것이 또한 인정된다.
시스템(1100, 1200)은 콘트라스트의 레벨을 개선하기 위해 함께 사용할 수 있는 것으로 또한 예상된다. 이 점에서, 본 발명은 타겟의 대칭 점에서 낮은 강도 레벨을 보장하기 위해 사용될 수 있다. 본 발명의 구조화 조명 및 교차 편광 양태의 조합은 도 13에 도시된 조명 동공(illumination pupil)을 이용하여 구현될 수 있는 것으로 인정된다. 예를 들면, 적합한 조명 동공은 십자 형상(1302), 수직선 형상(1304)(예를 들면, Y-방향), 또는 수평선 형상(1306)(예를 들면, X-방향)을 가질 수 있다. 더욱이, 조명 동공(1302, 1304, 1306)은 조명 편광자 및 촬상 편광자와 함께 구현될 수 있다. 제1 실시형태에 있어서, 동공(1302-1306)은 시스템의 조명 경로(예를 들면, 1115 또는 1205) 내에 배치된 X-편광자 및 시스템의 촬상 경로(예를 들면, 1107 또는 1207) 내에 배치된 Y-편광자와 제휴하여 구현될 수 있다. 제2 실시형태에 있어서, 동공(1302-1306)은 시스템의 조명 경로 내에 배치된 Y-편광자 및 시스템의 촬상 경로 내에 배치된 X-편광자와 제휴하여 구현될 수 있다.
여기에서 설명한 모든 시스템 및 방법은 방법 실시형태의 하나 이상 단계의 결과를 기억 매체에 저장하는 단계를 포함할 수 있다. 상기 결과는 여기에서 설명한 임의의 결과를 포함할 수 있고 이 기술 분야에서 공지된 임의의 방식으로 저장될 수 있다. 기억 매체는 여기에서 설명한 임의의 기억 매체를 포함할 수 있고 이 기술 분야에서 공지된 임의의 다른 적당한 기억 매체일 수 있다. 결과가 저장된 후에, 결과들은 기억 매체에서 액세스되어 여기에서 설명한 임의의 방법 또는 시스템 실시형태에 의해 사용되고, 사용자에게 디스플레이되도록 포맷화되고, 다른 소프트웨어, 모듈, 방법 또는 시스템 등에 의해 사용될 수 있다. 또한 결과들은 "영구적으로", "반영구적으로", 일시적으로 또는 소정의 시구간 동안 저장될 수 있다. 예를 들면, 기억 매체는 랜덤 액세스 메모리(RAM)일 수 있고, 결과들은 기억 매체에서 반드시 무기한으로 지속될 필요가 없다.
이 기술에 숙련된 사람이라면 여기에서 설명한 프로세스 및/또는 시스템 및/또는 다른 기술이 실행될 수 있는 각종의 매개물(예를 들면, 하드웨어, 소프트웨어, 및/또는 펌웨어)이 있고, 양호한 매개물은 프로세스 및/또는 시스템 및/또는 다른 기술이 전개되는 것과 관련하여 달라진다는 것을 이해할 것이다. 예를 들어서, 만일 속도 및 정확성이 가장 중요하다고 실행자(implementer)가 결정하면, 실행자는 주로 하드웨어 및/또는 펌웨어 매개물을 선택할 수 있고; 대안적으로, 만일 융통성이 가장 중요하다고 결정하면, 실행자는 주로 소프트웨어 구현을 선택할 수 있으며; 다른 대안적으로 실행자는 하드웨어, 소프트웨어 및/또는 펌웨어의 임의의 조합을 선택할 수 있다. 그러므로, 여기에서 설명한 프로세스 및/또는 시스템 및/또는 다른 기술이 실행될 수 있는 몇 가지 가능한 매개물이 있고, 활용되는 임의의 매개물은 매개물이 전개되는 관계 및 실행자의 특수한 관심사(예를 들면, 속도, 융통성 또는 예측성)에 따른 선택사항이며 이들이 모두 변할 수 있다는 점에서 매개물 중 어느 것도 다른 것에 본질적으로 우수하지 않다. 이 기술에 숙련된 사람이라면, 구현예의 광학적 양태가 전형적으로 광학적으로 지향된 하드웨어, 소프트웨어 및/또는 펌웨어를 이용한다는 것을 이해할 것이다.
이 기술에 숙련된 사람이라면 장치 및/또는 프로세스를 여기에서 설명하는 방식으로 설명하고, 그 다음에 기술적 실행(engineering practice)을 이용하여 여기에서 설명한 장치 및/또는 프로세스를 데이터 처리 시스템에 통합하는 것이 이 기술 분야에서 일반적이라는 것을 이해할 것이다. 즉, 여기에서 설명한 장치 및/또는 프로세스의 적어도 일부는 적당한 양의 실험을 통해 데이터 처리 시스템에 통합될 수 있다. 이 기술에 숙련된 사람이라면, 전형적인 데이터 처리 시스템은 일반적으로 시스템 유닛 하우징, 비디오 디스플레이 장치, 휘발성 및 비휘발성 메모리 등의 메모리, 마이크로프로세서 및 디지털 신호 프로세서 등의 프로세서, 운영체제, 드라이버, 그래픽 사용자 인터페이스 및 응용 프로그램 등의 연산 엔티티, 및 터치 패드 또는 스크린 등의 하나 이상의 대화 장치, 및/또는 피드백 루프 및 제어 모터(예를 들면, 위치 및/또는 속도를 감지하는 피드백; 컴포넌트 및/또는 양을 이동 및/또는 조정하는 제어 모터)를 포함한 제어 시스템 중의 하나 이상을 포함한다는 것을 이해할 것이다. 전형적인 데이터 처리 시스템은 일반적으로 데이터 연산/통신 시스템 및/또는 네트워크 연산/통신 시스템에서 나타나는 것과 같은 임의의 적당한 상업적으로 입수가능한 컴포넌트를 이용하여 구현될 수 있다.
여기에서 설명한 주제는 가끔 다른 컴포넌트에 포함되거나 다른 컴포넌트에 접속된 또다른 컴포넌트를 예시한다. 여기에서 설명한 아키텍처는 단순히 예시하는 것이고, 사실상 동일한 기능을 달성하는 많은 다른 아키텍처가 구현될 수 있다는 것을 이해하여야 한다. 개념적으로, 동일한 기능을 달성하는 임의의 컴포넌트 구성은 원하는 기능이 달성되도록 효과적으로 "관련"된다. 그러므로, 여기에서 특수 기능을 달성하도록 결합된 임의의 2개의 컴포넌트는 아키텍처 또는 인터미디얼 컴포넌트와 관계없이 원하는 기능이 달성되도록 서로 "관련"된 것으로 보여질 수 있다. 마찬가지로, 이렇게 관련된 임의의 2개의 컴포넌트는 원하는 기능을 달성하기 위해 서로 "접속" 또는 "결합"된 것으로 또한 보여질 수 있고, 서로 관련될 수 있는 임의의 2개의 컴포넌트는 원하는 기능을 달성하기 위해 서로 "결합가능"한 것으로 또한 보여질 수 있다. 결합가능한 특수 예는, 비제한적인 예를 들자면, 물리적으로 결합가능한 및/또는 물리적으로 상호작용하는 컴포넌트, 및/또는 무선으로 상호작용가능한 및/또는 무선으로 상호작용하는 컴포넌트, 및/또는 논리적으로 상호작용하는 및/또는 논리적으로 상호작용가능한 컴포넌트를 포함한다.
여기에서 설명한 본 발명 주제의 특수한 양태들을 도시하고 설명하였지만, 이 기술에 숙련된 사람이라면, 본 명세서의 교시에 따라서, 여기에서 설명한 주제 및 그 넓은 양태로부터 벗어나지 않고 각종 변경 및 수정이 이루어질 수 있다는 것을 이해할 것이고, 따라서 첨부된 특허청구범위는 여기에서 설명한 주제의 진정한 정신 및 범위 내에 포함되는 그러한 모든 변경 및 수정을 그 범위 내에 포함하는 것으로 한다.
비록 본 발명의 특수한 실시형태를 설명하였지만, 본 발명의 각종 수정 및 실시형태가 전술한 설명의 범위 및 정신으로부터 벗어나지 않고 이 기술에 숙련된 사람에 의해 만들어질 수 있다는 것은 명백하다. 따라서, 본 발명의 범위는 여기에 첨부된 특허 청구범위에 의해서만 제한되어야 한다.
본 발명 및 그 부수되는 많은 장점은 전술한 설명에 의해 이해될 것으로 믿어지고, 컴포넌트의 형태, 구성 및 배열에 있어서의 각종 변경이 여기에서 설명한 주제로부터 벗어나지 않고 또는 그 모든 중요한 장점을 희생시키지 않고 이루어질 수 있다는 것은 명백하다. 여기에서 설명한 형태는 단순히 예시한 것이고, 이하의 특허청구범위는 그러한 변경을 포함하는 것으로 의도된다.
또한, 본 발명은 첨부된 특허청구범위에 의해 규정되는 것으로 이해하여야 한다.

Claims (48)

  1. 다층 오버레이 타겟에 있어서,
    3개 이상의 타겟 구조물들을 포함하는 복수의 타겟 구조물들을 포함하고,
    상기 3개 이상의 타겟 구조물들은 제1 타겟 구조물, 제2 타겟 구조물 및 적어도 제3 타겟 구조물을 포함하고, 상기 타겟 구조물들 중 적어도 몇몇의 타겟 구조물들은 2개 이상의 패턴 요소(pattern element)들의 집합을 포함하고,
    상기 3개 이상의 타겟 구조물들은 상기 3개 이상의 타겟 구조물들의 정렬시에 공통 대칭 중심을 공유하도록 구성되며, 상기 타겟 구조물들 중 적어도 하나의 타겟 구조물은 상기 공통 대칭 중심에 대한 90도 회전에 대해 불변체(invariant)이고,
    상기 제1 타겟 구조물은 제1 공정 층에 배치되고, 상기 제2 타겟 구조물은 상기 제1 공정 층과는 상이한 제2 공정 층에 배치되고, 적어도 상기 제3 타겟 구조물은 적어도 제3 공정 층에 배치되며, 상기 적어도 제3 공정 층은 상기 제1 공정 층 및 상기 제2 공정 층과는 상이하고,
    상기 2개 이상의 패턴 요소들의 집합의 제1 특정 패턴 요소는 3개 이상의 하위 요소(sub-element)들을 포함하고, 상기 2개 이상의 패턴 요소들의 집합의 적어도 제2 특정 패턴 요소는 3개 이상의 하위 요소(sub-element)들을 포함하고,
    상기 제1 특정 패턴 요소의 3개 이상의 하위 요소들 및 상기 적어도 제2 특정 패턴 요소의 3개 이상의 하위 요소들 중, 적어도 하나는, 선택된 방향을 따라 정렬되며 상기 선택된 방향에 수직한 방향을 따라 분산되는 3개 이상의 평행선 구조들의 집합을 포함하는 것인, 다층 오버레이 타겟.
  2. 제1항에 있어서,
    상기 2개 이상의 패턴 요소들의 집합의 제1 패턴 요소는 제1 방향에서의 오버레이 계측 측정을 위한 것이고, 상기 2개 이상의 패턴 요소들의 집합의 제2 패턴 요소는 상기 제1 방향과 상이한 제2 방향에서의 오버레이 계측 측정을 위한 것인, 다층 오버레이 타겟.
  3. 제1항에 있어서,
    제1 방향에서의 오버레이 계측 측정을 위한 패턴 요소들의 집합 및 상기 제1 방향과 상이한 방향에서의 오버레이 계측 측정을 위한 패턴 요소들의 제2 집합은 공통 대칭 중심을 갖는 것인, 다층 오버레이 타겟.
  4. 제1항에 있어서,
    각 타겟 구조물의 상기 2개 이상의 패턴 요소들의 집합은 더미 필의 층 위 또는 아래에 인쇄되는 것인, 다층 오버레이 타겟.
  5. 제1항에 있어서,
    상기 복수의 타겟 구조물 중 몇몇의 타겟 구조물들은, 상기 복수의 타겟 구조물의 상기 몇몇의 타겟 구조물들의 콘트라스트를 향상시키기 위한 추가의 패턴 요소들의 집합을 포함하는 것인, 다층 오버레이 타겟.
    레이 측정 시스템.
  6. 제1항에 있어서,
    각각의 타겟 구조물의 상기 2개 이상의 패턴 요소들 중 적어도 몇몇의 패턴 요소들은, 개별적인 대칭 중심에 대한 180도 회전에 대해 불변체이며 상기 개별적인 대칭 중심에 대한 90도 회전에 대해 가변체인 것인, 다층 오버레이 타겟.
  7. 제1항에 있어서,
    상기 제1 타겟 구조물은 상기 공통 대칭 중심에 대한 90도 회전에 대해 불변체이고,
    상기 제2 타겟 구조물 및 적어도 상기 제3 타겟 구조물은, 상기 공통 대칭 중심에 대한 180도 회전에 대해 불변체이며 상기 공통 대칭 중심에 대한 90도 회전에 대해서는 가변체인 것인, 다층 오버레이 타겟.
  8. 제1항에 있어서,
    상기 제1 타겟 구조물은 제1 방향 및 상기 제1 방향에 대해 수직한 제2 방향에서 오버레이를 측정하도록 구성되며,
    상기 제2 타겟 구조물은 상기 제1 방향으로 오버레이를 측정하도록 구성되며,
    상기 제3 타겟 구조물은 상기 제2 방향으로 오버레이를 측정하도록 구성된 것인, 다층 오버레이 타겟.
  9. 제1항에 있어서,
    상기 제1 타겟 구조물은 공통 대칭 중심에 대한 90도 회전에 대해 불변체이고,
    상기 제2 타겟 구조물 및 상기 제3 타겟 구조물 중 적어도 하나는, 상기 공통 대칭 중심에 대한 180도 회전에 대해서 불변체이며 상기 공통 대칭 중심에 대한 90도 회전에 대해서는 가변체이고,
    각각의 타겟 구조물의 상기 2개 이상의 패턴 요소들 각각은, 개별적인 대칭 중심에 대한 180도 회전에 대해 불변체이며 상기 개별적인 대칭 중심에 대한 90도 회전에 대해서는 가변체인 것인, 다층 오버레이 타겟.
  10. 제1항에 있어서,
    상기 3개 이상의 하위 요소들은 상기 패턴 요소들 중 적어도 하나의 패턴 요소의 간격에 대해 평행하게 배열된 것인, 다층 오버레이 타겟.
  11. 제1항에 있어서,
    상기 3개 이상의 하위 요소들은 상기 패턴 요소들 중 적어도 하나의 패턴 요소의 간격에 대해 수직하게 배열된 것인, 다층 오버레이 타겟.
  12. 제1항에 있어서,
    상기 3개 이상의 하위 요소들은, 상기 패턴 요소들 중 적어도 하나의 패턴 요소의 제1 간격에 대해 평행하게 배열되며 상기 패턴 요소들 중 적어도 하나의 패턴 요소의 제2 간격에 대해 수직하게 배열된 것인, 다층 오버레이 타겟.
  13. 제1항에 있어서,
    상기 3개 이상의 하위 요소들과 관련된 간격은 상기 패턴 요소들 중 2개 이상의 패턴 요소들 간의 간격보다 작은 것인, 다층 오버레이 타겟.
  14. 제1항에 있어서,
    상기 2개 이상의 패턴 요소들 중 적어도 몇몇의 패턴 요소들은 개별적인 대칭 중심을 갖는 것인, 다층 오버레이 타겟.
  15. 다층 오버레이 타겟에 있어서,
    3개 이상의 타겟 구조물들을 포함하는 복수의 타겟 구조물들을 포함하고,
    상기 3개 이상의 타겟 구조물들은 제1 타겟 구조물, 제2 타겟 구조물 및 적어도 제3 타겟 구조물을 포함하고, 상기 타겟 구조물들 중 적어도 몇몇의 타겟 구조물들은 2개 이상의 패턴 요소(pattern element)들의 집합을 포함하고,
    상기 3개 이상의 타겟 구조물들은 상기 3개 이상의 타겟 구조물들의 정렬시에 공통 대칭 중심을 공유하도록 구성되며, 상기 제1 타겟 구조물은 상기 공통 대칭 중심에 대한 90도 회전에 대해 불변체(invariant)이고, 상기 제2 타겟 구조물은 상기 공통 대칭 중심에 대한 90도 회전에 대해 불변체이고, 적어도 상기 제3 타겟 구조물은 상기 공통 대칭 중심에 대한 90도 회전에 대해 불변체이며,
    상기 제1 타겟 구조물은 제1 공정 층에 배치되고, 상기 제2 타겟 구조물은 상기 제1 공정 층과는 상이한 제2 공정 층에 배치되고, 적어도 상기 제3 타겟 구조물은 적어도 제3 공정 층에 배치되며, 상기 적어도 제3 공정 층은 상기 제1 공정 층 및 상기 제2 공정 층과는 상이하고,
    상기 2개 이상의 패턴 요소들 중 적어도 몇몇의 패턴 요소들은 상기 3개 이상의 타겟 구조물들의 상기 공통 대칭 중심과 상이한 개별적인 대칭 중심을 가지고,
    상기 제1 타겟 구조물의 패턴 요소의 적어도 일부는 상기 제2 타겟 구조물 및 상기 적어도 제3 타겟 구조물 중 적어도 하나의 타겟 구조물의 패턴 요소의 적어도 일부와 중첩하는 것인, 다층 오버레이 타겟.
  16. 제15항에 있어서,
    상기 3개 이상의 타겟 구조물은 적어도 제4 타겟 구조물을 포함하는 것인, 다층 오버레이 타겟.
  17. 제16항에 있어서,
    적어도 상기 제4 타겟 구조물은 상기 공통 대칭 중심에 대한 90도 회전에 대해 불변체인 것인, 다층 오버레이 타겟.
  18. 제17항에 있어서,
    상기 제1 타겟 구조물, 상기 제2 타겟 구조물, 상기 제3 타겟 구조물 및 상기 제4 타겟 구조물은 제1 방향 및 상기 제1 방향에 수직한 제2 방향으로 오버레이를 측정하도록 구성된 것인, 다층 오버레이 타겟.
  19. 제15항에 있어서,
    상기 제1 타겟 구조물의 하나 이상의 패턴 요소는 상기 제2 타겟 구조물 및 상기 적어도 제3 타겟 구조물 중 적어도 하나의 타겟 구조물의 하나 이상의 패턴 요소와 중첩하는 것인, 다층 오버레이 타겟.
  20. 다층 오버레이 타겟에 있어서,
    3개 이상의 타겟 구조물들을 포함하는 복수의 타겟 구조물들을 포함하고,
    상기 3개 이상의 타겟 구조물들은 제1 타겟 구조물, 제2 타겟 구조물 및 적어도 제3 타겟 구조물을 포함하고, 상기 타겟 구조물들 중 적어도 몇몇의 타겟 구조물들은 2개 이상의 패턴 요소(pattern element)들의 집합을 포함하고, 상기 2개 이상의 패턴 요소들 중 적어도 몇몇의 패턴 요소들은 반사 불변체(reflection invariant)이고,
    각각의 타겟 구조물의 상기 2개 이상의 패턴 요소들의 적어도 몇몇의 패턴 요소들은 개별적인 대칭 중심에 대한 90도 회전에 대해 가변체이고,
    상기 다층 오버레이 타겟의 상기 2개 이상의 패턴 요소들은 서로 공간적으로 분리되어 있으며,
    상기 3개 이상의 타겟 구조물들은 상기 3개 이상의 타겟 구조물들의 정렬시에 공통 대칭 중심을 공유하도록 구성되고,
    상기 제1 타겟 구조물은 제1 공정 층에 배치되고, 상기 제2 타겟 구조물은 상기 제1 공정 층과는 상이한 제2 공정 층에 배치되고, 적어도 상기 제3 타겟 구조물은 적어도 제3 공정 층에 배치되며, 상기 적어도 제3 공정 층은 상기 제1 공정 층 및 상기 제2 공정 층과는 상이한 것인, 다층 오버레이 타겟.
  21. 다층 오버레이 타겟에 있어서,
    3개 이상의 타겟 구조물들을 포함하는 복수의 타겟 구조물들을 포함하고,
    상기 3개 이상의 타겟 구조물들은 제1 타겟 구조물, 제2 타겟 구조물 및 적어도 제3 타겟 구조물을 포함하고, 상기 타겟 구조물들 중 적어도 몇몇의 타겟 구조물들은 2개 이상의 패턴 요소(pattern element)들의 집합을 포함하고,
    상기 다층 오버레이 타겟의 상기 2개 이상의 패턴 요소들은 서로 공간적으로 분리되어 있으며,
    상기 타겟 구조물들 중 적어도 몇몇의 타겟 구조물들은 반사 불변체(reflection invariant)이고,
    각각의 타겟 구조물의 상기 2개 이상의 패턴 요소들 중 적어도 몇몇의 패턴 요소들은 반사 불변체이며 개별적인 대칭 중심에 대한 90도 회전에 대해 가변체이고,
    상기 3개 이상의 타겟 구조물들은 상기 3개 이상의 타겟 구조물들의 정렬시에 공통 대칭 중심을 공유하도록 구성되고,
    상기 제1 타겟 구조물은 제1 공정 층에 배치되고, 상기 제2 타겟 구조물은 상기 제1 공정 층과는 상이한 제2 공정 층에 배치되고, 적어도 상기 제3 타겟 구조물은 적어도 제3 공정 층에 배치되며, 상기 적어도 제3 공정 층은 상기 제1 공정 층 및 상기 제2 공정 층과는 상이한 것인, 다층 오버레이 타겟.
  22. 다층 오버레이 타겟에 있어서,
    4개 이상의 타겟 구조물들을 포함하는 복수의 타겟 구조물들을 포함하고,
    상기 4개 이상의 타겟 구조물들은 제1 타겟 구조물, 제2 타겟 구조물, 제3 타겟 구조물 및 적어도 제4 타겟 구조물을 포함하고, 상기 타겟 구조물들 중 적어도 몇몇의 타겟 구조물들은 2개 이상의 패턴 요소(pattern element)들의 집합을 포함하고,
    상기 다층 오버레이 타겟의 상기 2개 이상의 패턴 요소들은 서로 공간적으로 분리되어 있으며,
    상기 2개 이상의 패턴 요소들 중 적어도 하나의 패턴 요소는 개별적인 대칭 중심에 대한 90도 회전에 대해 가변체이고,
    상기 4개 이상의 타겟 구조물 각각의 대칭 중심의 위치는 상기 4개 이상의 타겟 구조물들의 오버레이 정렬을 나타내고,
    상기 4개 이상의 타겟 구조물들은 상기 4개 이상의 타겟 구조물들의 정렬시에 공통 대칭 중심을 공유하도록 구성되고,
    상기 제1 타겟 구조물, 상기 제2 타겟 구조물, 상기 제3 타겟 구조물 및 상기 제4 타겟 구조물은 상기 공통 대칭 중심에 대한 180도 회전에 대해 불변체이며 상기 공통 대칭 중심에 대한 90도 회전에 대해서는 가변체이고,
    상기 제1 타겟 구조물은 제1 공정 층에 배치되고, 상기 제2 타겟 구조물은 상기 제1 공정 층과는 상이한 제2 공정 층에 배치되고, 상기 제3 타겟 구조물은 상기 제1 공정 층 및 상기 제2 공정 층과는 상이한 제3 공정 층에 배치되며, 상기 적어도 제4 타겟 구조물은 상기 제1 공정 층, 상기 제2 공정 층 및 상기 제3 공정 층과는 상이한 제4 공정 층에 배치된 것인, 다층 오버레이 타겟.
  23. 제22항에 있어서,
    상기 제1 타겟 구조물 및 상기 제2 타겟 구조물 중 적어도 하나의 타겟 구조물은 제1 방향으로 오버레이를 측정하도록 구성되며,
    상기 제3 타겟 구조물 및 상기 제4 타겟 구조물 중 적어도 하나의 타겟 구조물은 상기 제1 방향에 수직한 제2 방향으로 오버레이를 측정하도록 구성되는 것인, 다층 오버레이 타겟.
  24. 제22항에 있어서,
    각각의 타겟 구조물의 상기 2개 이상의 패턴 요소들 중 적어도 몇몇의 패턴 요소들은, 개별적인 대칭 중심에 대한 180도 회전에 대해 불변체이며 상기 개별적인 대칭 중심에 대한 90도 회전에 대해서는 가변체이고,
    상기 2개 이상의 패턴 요소들의 상기 적어도 몇몇의 패턴 요소들의 상기 개별적인 대칭 중심은 상기 4개 이상의 타겟 구조물들의 상기 공통 대칭 중심과 상이한 것인, 다층 오버레이 타겟.
  25. 다층 오버레이 타겟으로부터 오버레이를 측정하기 위한 시스템에 있어서,
    반도체 디바이스의 하나 이상의 공정 층 상에 배치된 복수의 타겟 구조물들을 조명하도록 구성된 조명원;
    상기 복수의 타겟 구조물들로부터 반사된 광을 수집하도록 구성된 검출기; 및
    비일시적 메모리 매체에서 유지되는 프로그램 명령들의 세트를 실행하도록 구성된 하나 이상의 프로세서로서, 상기 프로그램 명령들의 세트는 상기 하나 이상의 프로세서로 하여금 상기 복수의 타겟 구조물로부터 수집된 광에 기초하여 2개 이상의 구조물들 사이의 오버레이 에러를 결정하도록 하는 것인, 상기 하나 이상의 프로세서
    를 포함하고,
    상기 복수의 타겟 구조물들은 3개 이상의 타겟 구조물들을 포함하고, 상기 3개 이상의 타겟 구조물들은 제1 타겟 구조물, 제2 타겟 구조물 및 적어도 제3 타겟 구조물을 포함하며, 상기 타겟 구조물들 중 적어도 몇몇의 타겟 구조물들은 2개 이상의 패턴 요소(pattern element)들의 집합을 포함하고,
    상기 3개 이상의 타겟 구조물들은 상기 3개 이상의 타겟 구조물들의 정렬시에 공통 대칭 중심을 공유하도록 구성되며, 상기 타겟 구조물들 중 적어도 하나의 타겟 구조물은 상기 공통 대칭 중심에 대한 90도 회전에 대해 불변체(invariant)이고,
    상기 제1 타겟 구조물은 제1 공정 층에 배치되고, 상기 제2 타겟 구조물은 상기 제1 공정 층과는 상이한 제2 공정 층에 배치되고, 적어도 상기 제3 타겟 구조물은 적어도 제3 공정 층에 배치되며, 상기 적어도 제3 공정 층은 상기 제1 공정 층 및 상기 제2 공정 층과는 상이하고,
    상기 2개 이상의 패턴 요소들의 집합의 제1 특정 패턴 요소는 3개 이상의 하위 요소(sub-element)들을 포함하고, 상기 2개 이상의 패턴 요소들의 집합의 적어도 제2 특정 패턴 요소는 3개 이상의 하위 요소(sub-element)들을 포함하고,
    상기 제1 특정 패턴 요소의 3개 이상의 하위 요소들 및 상기 적어도 제2 특정 패턴 요소의 3개 이상의 하위 요소들 중, 적어도 하나는, 선택된 방향을 따라 정렬되며 상기 선택된 방향에 수직한 방향을 따라 분산되는 3개 이상의 평행선 구조들의 집합을 포함하는 것인, 오버레이 측정 시스템.
  26. 제25항에 있어서,
    상기 2개 이상의 패턴 요소들의 집합의 제1 패턴 요소는 제1 방향에서의 오버레이 계측 측정을 위한 것이고, 상기 2개 이상의 패턴 요소들의 집합의 제2 패턴 요소는 상기 제1 방향과 상이한 제2 방향에서의 오버레이 계측 측정을 위한 것인, 오버레이 측정 시스템.
  27. 제25항에 있어서,
    제1 방향에서의 오버레이 계측 측정을 위한 패턴 요소들의 집합 및 상기 제1 방향과 상이한 제2 방향에서의 오버레이 계측 측정을 위한 패턴 요소들의 제2 집합은 공통 대칭 중심을 갖는 것인, 오버레이 측정 시스템.
  28. 제25항에 있어서,
    각 타겟 구조물의 상기 2개 이상의 패턴 요소들의 집합은 더미 필의 층 위 또는 아래에 인쇄되는 것인, 오버레이 측정 시스템.
  29. 제25항에 있어서,
    상기 복수의 타겟 구조물 중 몇몇의 타겟 구조물들은, 상기 복수의 타겟 구조물의 상기 몇몇의 타겟 구조물들의 콘트라스트를 향상시키기 위한 추가의 패턴 요소들의 집합을 포함하는 것인, 오버레이 측정 시스템.
  30. 제25항에 있어서,
    각각의 타겟 구조물의 상기 2개 이상의 패턴 요소들 중 적어도 몇몇의 패턴 요소들은, 개별적인 대칭 중심에 대한 180도 회전에 대해 불변체이며 상기 개별적인 대칭 중심에 대한 90도 회전에 대해 가변체인 것인, 오버레이 측정 시스템.
  31. 제25항에 있어서,
    상기 제1 타겟 구조물은 상기 공통 대칭 중심에 대한 90도 회전에 대해 불변체이고,
    상기 제2 타겟 구조물 및 적어도 상기 제3 타겟 구조물은, 상기 공통 대칭 중심에 대한 180도 회전에 대해 불변체이며 상기 공통 대칭 중심에 대한 90도 회전에 대해서는 가변체인 것인, 오버레이 측정 시스템.
  32. 제25항에 있어서,
    상기 제1 타겟 구조물은 제1 방향 및 상기 제1 방향에 대해 수직한 제2 방향에서 오버레이를 측정하도록 구성되며,
    상기 제2 타겟 구조물은 상기 제1 방향으로 오버레이를 측정하도록 구성되며,
    상기 제3 타겟 구조물은 상기 제2 방향으로 오버레이를 측정하도록 구성된 것인, 오버레이 측정 시스템.
  33. 제25항에 있어서,
    상기 제1 타겟 구조물은 공통 대칭 중심에 대한 90도 회전에 대해 불변체이고,
    상기 제2 타겟 구조물 및 상기 제3 타겟 구조물 중 적어도 하나는, 상기 공통 대칭 중심에 대한 180도 회전에 대해서 불변체이며 상기 공통 대칭 중심에 대한 90도 회전에 대해서는 가변체이고,
    각각의 타겟 구조물의 상기 2개 이상의 패턴 요소들 각각은, 개별적인 대칭 중심에 대한 180도 회전에 대해 불변체이며 상기 개별적인 대칭 중심에 대한 90도 회전에 대해서는 가변체인 것인, 오버레이 측정 시스템.
  34. 제25항에 있어서,
    상기 3개 이상의 하위 요소들은 상기 패턴 요소들 중 적어도 2개의 패턴 요소들의 간격에 대해 평행하게 배열된 것인, 오버레이 측정 시스템.
  35. 제25항에 있어서,
    상기 3개 이상의 하위 요소들은 상기 패턴 요소들 중 적어도 2개의 패턴 요소들의 간격에 대해 수직하게 배열된 것인, 오버레이 측정 시스템.
  36. 제25항에 있어서,
    상기 3개 이상의 하위 요소들은, 상기 패턴 요소들 중 적어도 2개의 패턴 요소들의 제1 간격에 대해 평행하게 배열되며 상기 패턴 요소들 중 적어도 2개의 패턴 요소들의 제2 간격에 대해 수직하게 배열된 것인, 오버레이 측정 시스템.
  37. 제25항에 있어서,
    상기 3개 이상의 하위 요소들과 관련된 간격은 상기 패턴 요소들 중 2개 이상의 패턴 요소들 간의 간격보다 작은 것인, 오버레이 측정 시스템.
  38. 제25항에 있어서,
    상기 2개 이상의 패턴 요소들 중 적어도 몇몇의 패턴 요소들은 개별적인 대칭 중심을 갖는 것인, 오버레이 측정 시스템.
  39. 다층 오버레이 타겟으로부터 오버레이를 측정하기 위한 시스템에 있어서,
    반도체 디바이스의 하나 이상의 공정 층 상에 배치된 복수의 타겟 구조물들을 조명하도록 구성된 조명원;
    상기 복수의 타겟 구조물들로부터 반사된 광을 수집하도록 구성된 검출기; 및
    비일시적 메모리 매체에서 유지되는 프로그램 명령들의 세트를 실행하도록 구성된 하나 이상의 프로세서로서, 상기 프로그램 명령들의 세트는 상기 하나 이상의 프로세서로 하여금 상기 복수의 타겟 구조물로부터 수집된 광에 기초하여 2개 이상의 구조물들 사이의 오버레이 에러를 결정하도록 하는 것인, 상기 하나 이상의 프로세서
    를 포함하고,
    상기 복수의 타겟 구조물들은 3개 이상의 타겟 구조물들을 포함하고, 상기 3개 이상의 타겟 구조물들은 제1 타겟 구조물, 제2 타겟 구조물 및 적어도 제3 타겟 구조물을 포함하며, 상기 타겟 구조물들 중 적어도 몇몇의 타겟 구조물들은 2개 이상의 패턴 요소(pattern element)들의 집합을 포함하고,
    상기 3개 이상의 타겟 구조물들은 상기 3개 이상의 타겟 구조물들의 정렬시에 공통 대칭 중심을 공유하도록 구성되며, 상기 제1 타겟 구조물은 상기 공통 대칭 중심에 대한 90도 회전에 대해 불변체이고, 상기 제2 타겟 구조물은 상기 공통 대칭 중심에 대한 90도 회전에 대해 불변체이고, 적어도 상기 제3 타겟 구조물은 상기 공통 대칭 중심에 대한 90도 회전에 대해 불변체이며,
    상기 제1 타겟 구조물은 제1 공정 층에 배치되고, 상기 제2 타겟 구조물은 상기 제1 공정 층과는 상이한 제2 공정 층에 배치되고, 적어도 상기 제3 타겟 구조물은 적어도 제3 공정 층에 배치되며, 상기 적어도 제3 공정 층은 상기 제1 공정 층 및 상기 제2 공정 층과는 상이하고,
    상기 2개 이상의 패턴 요소들 중 적어도 몇몇의 패턴 요소들은 상기 3개 이상의 타겟 구조물들의 상기 공통 대칭 중심과 상이한 개별적인 대칭 중심을 가지고,
    상기 제1 타겟 구조물의 패턴 요소의 적어도 일부는 상기 제2 타겟 구조물 및 상기 적어도 제3 타겟 구조물 중 적어도 하나의 타겟 구조물의 패턴 요소의 적어도 일부와 중첩하는 것인, 오버레이 측정 시스템.
  40. 제39항에 있어서,
    상기 3개 이상의 타겟 구조물은 적어도 제4 타겟 구조물을 포함하는 것인, 오버레이 측정 시스템.
  41. 제40항에 있어서,
    적어도 상기 제4 타겟 구조물은 상기 공통 대칭 중심에 대한 90도 회전에 대해 불변체인 것인, 오버레이 측정 시스템.
  42. 제41항에 있어서,
    상기 제1 타겟 구조물, 상기 제2 타겟 구조물, 상기 제3 타겟 구조물 및 상기 제4 타겟 구조물은 제1 방향 및 상기 제1 방향에 수직한 제2 방향으로 오버레이를 측정하도록 구성된 것인, 오버레이 측정 시스템.
  43. 제39항에 있어서,
    상기 제1 타겟 구조물의 하나 이상의 패턴 요소는 상기 제2 타겟 구조물 및 상기 적어도 제3 타겟 구조물 중 적어도 하나의 타겟 구조물의 하나 이상의 패턴 요소와 중첩하는 것인, 오버레이 측정 시스템.
  44. 다층 오버레이 타겟으로부터 오버레이를 측정하기 위한 시스템에 있어서,
    반도체 디바이스의 하나 이상의 공정 층 상에 배치된 복수의 타겟 구조물들을 조명하도록 구성된 조명원;
    상기 복수의 타겟 구조물들로부터 반사된 광을 수집하도록 구성된 검출기; 및
    비일시적 메모리 매체에서 유지되는 프로그램 명령들의 세트를 실행하도록 구성된 하나 이상의 프로세서로서, 상기 프로그램 명령들의 세트는 상기 하나 이상의 프로세서로 하여금 상기 복수의 타겟 구조물로부터 수집된 광에 기초하여 2개 이상의 구조물들 사이의 오버레이 에러를 결정하도록 하는 것인, 상기 하나 이상의 프로세서
    를 포함하고,
    상기 복수의 타겟 구조물들은 3개 이상의 타겟 구조물들을 포함하고, 상기 3개 이상의 타겟 구조물들은 제1 타겟 구조물, 제2 타겟 구조물 및 적어도 제3 타겟 구조물을 포함하며, 상기 타겟 구조물들 중 적어도 몇몇의 타겟 구조물들은 2개 이상의 패턴 요소(pattern element)들의 집합을 포함하고, 상기 2개 이상의 패턴 요소들 중 적어도 몇몇의 패턴 요소들은 반사 불변체(reflection invariant)이고,
    각각의 타겟 구조물의 상기 2개 이상의 패턴 요소들의 적어도 몇몇의 패턴 요소들은 개별적인 대칭 중심에 대한 90도 회전에 대해 가변체이고,
    상기 다층 오버레이 타겟의 상기 2개 이상의 패턴 요소들은 서로 공간적으로 분리되어 있으며,
    상기 3개 이상의 타겟 구조물들은 상기 3개 이상의 타겟 구조물들의 정렬시에 공통 대칭 중심을 공유하도록 구성되고,
    상기 제1 타겟 구조물은 제1 공정 층에 배치되고, 상기 제2 타겟 구조물은 상기 제1 공정 층과는 상이한 제2 공정 층에 배치되고, 적어도 상기 제3 타겟 구조물은 적어도 제3 공정 층에 배치되며, 상기 적어도 제3 공정 층은 상기 제1 공정 층 및 상기 제2 공정 층과는 상이한 것인, 오버레이 측정 시스템.
  45. 다층 오버레이 타겟으로부터 오버레이를 측정하기 위한 시스템에 있어서,
    반도체 디바이스의 하나 이상의 공정 층 상에 배치된 복수의 타겟 구조물들을 조명하도록 구성된 조명원;
    상기 복수의 타겟 구조물들로부터 반사된 광을 수집하도록 구성된 검출기; 및
    비일시적 메모리 매체에서 유지되는 프로그램 명령들의 세트를 실행하도록 구성된 하나 이상의 프로세서로서, 상기 프로그램 명령들의 세트는 상기 하나 이상의 프로세서로 하여금 상기 복수의 타겟 구조물로부터 수집된 광에 기초하여 2개 이상의 구조물들 사이의 오버레이 에러를 결정하도록 하는 것인, 상기 하나 이상의 프로세서
    를 포함하고,
    상기 복수의 타겟 구조물들은 3개 이상의 타겟 구조물들을 포함하고, 상기 3개 이상의 타겟 구조물들은 제1 타겟 구조물, 제2 타겟 구조물 및 적어도 제3 타겟 구조물을 포함하며, 상기 타겟 구조물들 중 적어도 몇몇의 타겟 구조물들은 2개 이상의 패턴 요소(pattern element)들의 집합을 포함하고,
    상기 다층 오버레이 타겟의 상기 2개 이상의 패턴 요소들은 서로 공간적으로 분리되어 있으며,
    상기 타겟 구조물들의 적어도 몇몇의 타겟 구조물들은 반사 불변체(reflection invariant)이고,
    각각의 타겟 구조물의 상기 2개 이상의 패턴 요소들 중 적어도 몇몇의 패턴 요소들은 반사 불변체이며 개별적인 대칭 중심에 대한 90도 회전에 대해 가변체이고,
    상기 3개 이상의 타겟 구조물들은 상기 3개 이상의 타겟 구조물들의 정렬시에 공통 대칭 중심을 공유하도록 구성되고,
    상기 제1 타겟 구조물은 제1 공정 층에 배치되고, 상기 제2 타겟 구조물은 상기 제1 공정 층과는 상이한 제2 공정 층에 배치되고, 적어도 상기 제3 타겟 구조물은 적어도 제3 공정 층에 배치되며, 적어도 상기 제3 공정 층은 상기 제1 공정 층 및 상기 제2 공정 층과는 상이한 것인, 오버레이 측정 시스템.
  46. 다층 오버레이 타겟으로부터 오버레이를 측정하기 위한 시스템에 있어서,
    반도체 디바이스의 하나 이상의 공정 층 상에 배치된 복수의 타겟 구조물들을 조명하도록 구성된 조명원;
    상기 복수의 타겟 구조물들로부터 반사된 광을 수집하도록 구성된 검출기; 및
    비일시적 메모리 매체에서 유지되는 프로그램 명령들의 세트를 실행하도록 구성된 하나 이상의 프로세서로서, 상기 프로그램 명령들의 세트는 상기 하나 이상의 프로세서로 하여금 상기 복수의 타겟 구조물로부터 수집된 광에 기초하여 2개 이상의 구조물들 사이의 오버레이 에러를 결정하도록 하는 것인, 상기 하나 이상의 프로세서
    를 포함하고,
    상기 복수의 타겟 구조물들은 4개 이상의 타겟 구조물들을 포함하고, 상기 4개 이상의 타겟 구조물들은 제1 타겟 구조물, 제2 타겟 구조물, 제3 타겟 구조물 및 적어도 제4 타겟 구조물을 포함하고, 상기 타겟 구조물들 중 적어도 몇몇의 타겟 구조물들은 2개 이상의 패턴 요소(pattern element)들의 집합을 포함하고,
    상기 다층 오버레이 타겟의 상기 2개 이상의 패턴 요소들은 서로 공간적으로 분리되어 있으며,
    상기 2개 이상의 패턴 요소들 중 적어도 하나의 패턴 요소는 개별적인 대칭 중심에 대한 90도 회전에 대해 가변체이고,
    상기 4개 이상의 타겟 구조물 각각의 대칭 중심의 위치는 상기 4개 이상의 타겟 구조물들의 오버레이 정렬을 나타내고,
    상기 4개 이상의 타겟 구조물들은 상기 4개 이상의 타겟 구조물들의 정렬시에 공통 대칭 중심을 공유하도록 구성되고,
    상기 제1 타겟 구조물, 상기 제2 타겟 구조물, 상기 제3 타겟 구조물 및 상기 제4 타겟 구조물은 상기 공통 대칭 중심에 대한 180도 회전에 대해 불변체이며 상기 공통 대칭 중심에 대한 90도 회전에 대해서는 가변체이고,
    상기 제1 타겟 구조물은 제1 공정 층에 배치되고, 상기 제2 타겟 구조물은 상기 제1 공정 층과는 상이한 제2 공정 층에 배치되고, 상기 제3 타겟 구조물은 상기 제1 공정 층 및 상기 제2 공정 층과는 상이한 제3 공정 층에 배치되고, 상기 적어도 제4 타겟 구조물은 상기 제1 공정 층, 상기 제2 공정 층 및 상기 제3 공정 층과는 상이한 제4 공정 층에 배치된 것인, 오버레이 측정 시스템.
  47. 제46항에 있어서,
    상기 제1 타겟 구조물 및 상기 제2 타겟 구조물 중 적어도 하나의 타겟 구조물은 제1 방향으로 오버레이를 측정하도록 구성되며,
    상기 제3 타겟 구조물 및 상기 제4 타겟 구조물 중 적어도 하나의 타겟 구조물은 상기 제1 방향에 수직한 제2 방향으로 오버레이를 측정하도록 구성되는 것인, 오버레이 측정 시스템.
  48. 제46항에 있어서,
    각각의 타겟 구조물의 상기 2개 이상의 패턴 요소들 중 적어도 몇몇의 패턴 요소들은, 개별적인 대칭 중심에 대한 180도 회전에 대해 불변체이며 상기 개별적인 대칭 중심에 대한 90도 회전에 대해서는 가변체이고,
    상기 2개 이상의 패턴 요소들의 상기 적어도 몇몇의 패턴 요소들의 상기 개별적인 대칭 중심은 상기 4개 이상의 타겟 구조물들의 상기 공통 대칭 중심과 상이한 것인, 오버레이 측정 시스템.
KR1020207011567A 2010-08-03 2011-07-28 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템 KR102177681B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US37034110P 2010-08-03 2010-08-03
US61/370,341 2010-08-03
US13/186,144 US9927718B2 (en) 2010-08-03 2011-07-19 Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
US13/186,144 2011-07-19
PCT/US2011/045778 WO2012018673A2 (en) 2010-08-03 2011-07-28 Multi-layer overlay metrology target and complimentary overlay metrology measurement systems

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020187006323A Division KR20180026582A (ko) 2010-08-03 2011-07-28 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템

Publications (2)

Publication Number Publication Date
KR20200045573A KR20200045573A (ko) 2020-05-04
KR102177681B1 true KR102177681B1 (ko) 2020-11-12

Family

ID=45555937

Family Applications (6)

Application Number Title Priority Date Filing Date
KR1020187006323A KR20180026582A (ko) 2010-08-03 2011-07-28 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템
KR1020177001918A KR20170013399A (ko) 2010-08-03 2011-07-28 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템
KR1020147028219A KR101700435B1 (ko) 2010-08-03 2011-07-28 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템
KR1020137004374A KR101380532B1 (ko) 2010-08-03 2011-07-28 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템
KR1020137018458A KR101476080B1 (ko) 2010-08-03 2011-07-28 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템
KR1020207011567A KR102177681B1 (ko) 2010-08-03 2011-07-28 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템

Family Applications Before (5)

Application Number Title Priority Date Filing Date
KR1020187006323A KR20180026582A (ko) 2010-08-03 2011-07-28 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템
KR1020177001918A KR20170013399A (ko) 2010-08-03 2011-07-28 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템
KR1020147028219A KR101700435B1 (ko) 2010-08-03 2011-07-28 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템
KR1020137004374A KR101380532B1 (ko) 2010-08-03 2011-07-28 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템
KR1020137018458A KR101476080B1 (ko) 2010-08-03 2011-07-28 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템

Country Status (7)

Country Link
US (2) US9927718B2 (ko)
EP (2) EP2601675B1 (ko)
JP (3) JP5894158B2 (ko)
KR (6) KR20180026582A (ko)
CN (5) CN105589301B (ko)
TW (5) TWI666529B (ko)
WO (1) WO2012018673A2 (ko)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
US9709903B2 (en) * 2011-11-01 2017-07-18 Kla-Tencor Corporation Overlay target geometry for measuring multiple pitches
CN103814429A (zh) * 2012-05-22 2014-05-21 科磊股份有限公司 具有正交底层虚拟填充的叠盖目标
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9454072B2 (en) * 2012-11-09 2016-09-27 Kla-Tencor Corporation Method and system for providing a target design displaying high sensitivity to scanner focus change
WO2014081913A1 (en) 2012-11-21 2014-05-30 Kla-Tencor Corporation Process compatible segmented targets and design methods
JP6478974B2 (ja) 2013-04-10 2019-03-06 ケーエルエー−テンカー コーポレイション 標的設計及び製造における誘導自己組織化
KR102066320B1 (ko) * 2013-05-29 2020-01-14 케이엘에이 코포레이션 다층 타겟 설계
US9885961B1 (en) 2013-05-29 2018-02-06 Kla-Tencor Corporation Partly disappearing targets
WO2014210381A1 (en) * 2013-06-27 2014-12-31 Kla-Tencor Corporation Polarization measurements of metrology targets and corresponding target designs
US9059102B2 (en) 2013-08-15 2015-06-16 International Business Machines Corporation Metrology marks for unidirectional grating superposition patterning processes
US9257351B2 (en) 2013-08-15 2016-02-09 Globalfoundries Inc. Metrology marks for bidirectional grating superposition patterning processes
US9121890B2 (en) 2013-10-30 2015-09-01 Globalfoundries Inc. Planar metrology pad adjacent a set of fins of a fin field effect transistor device
KR102156408B1 (ko) 2013-11-19 2020-09-16 삼성전자주식회사 레이어드 디스플레이 기법을 위한 디스플레이 장치 및 영상 생성 방법
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
WO2015122932A1 (en) * 2014-02-12 2015-08-20 Kla-Tencor Corporation Metrology targets with filling elements that reduce inaccuracies and maintain contrast
US9851300B1 (en) * 2014-04-04 2017-12-26 Kla-Tencor Corporation Decreasing inaccuracy due to non-periodic effects on scatterometric signals
EP3149544B1 (en) 2014-06-02 2018-10-10 ASML Netherlands B.V. Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method
US20170146465A1 (en) * 2014-06-19 2017-05-25 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
KR20160007192A (ko) 2014-07-11 2016-01-20 삼성전자주식회사 오버레이 측정 방법, 오버레이 측정 시스템 및 이를 이용한 반도체 장치의 제조 방법
KR102202517B1 (ko) 2014-07-13 2021-01-13 케이엘에이 코포레이션 오버레이 및 수율 임계 패턴을 이용한 계측
US10228320B1 (en) 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets
WO2016030255A2 (en) 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate
KR20210149885A (ko) * 2015-05-19 2021-12-09 케이엘에이 코포레이션 오버레이 측정을 위한 지형 위상 제어
US10062543B2 (en) * 2015-06-23 2018-08-28 Kla-Tencor Corp. Determining multi-patterning step overlay error
CN106328629A (zh) * 2015-06-30 2017-01-11 华邦电子股份有限公司 对准图形及其配置方法
EP3467428A4 (en) * 2016-05-30 2019-05-08 Sony Corporation INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, PROGRAM, AND IMAGE CAPTURE SYSTEM
CN109690234B (zh) 2016-09-15 2021-09-14 科磊股份有限公司 用于优化以成像为基础的覆盖度量的聚焦的系统及方法
JP6319395B2 (ja) * 2016-10-14 2018-05-09 オムロン株式会社 3次元測定装置および3次元測定方法
EP3339959A1 (en) 2016-12-23 2018-06-27 ASML Netherlands B.V. Method of determining a position of a feature
FR3062516B1 (fr) * 2017-01-30 2019-04-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de mesure du desalignement entre une premiere et une seconde zones de gravure
WO2018141503A1 (en) * 2017-02-02 2018-08-09 Asml Netherlands B.V. Metrology method and apparatus and associated computer product
KR102432667B1 (ko) * 2017-05-15 2022-08-17 삼성전자주식회사 오버레이 보정방법 및 제어 시스템
EP3404488A1 (en) * 2017-05-19 2018-11-21 ASML Netherlands B.V. Method of measuring a target, metrology apparatus, lithographic cell, and target
US10627720B2 (en) 2017-08-18 2020-04-21 Globalfoundries Inc. Overlay mark structures
US10204867B1 (en) * 2017-08-31 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor metrology target and manufacturing method thereof
JP6848772B2 (ja) 2017-08-31 2021-03-24 株式会社デンソー 熱交換器
WO2019057578A1 (en) * 2017-09-22 2019-03-28 Asml Netherlands B.V. METHOD FOR DETERMINING A PARAMETER OF PATTERN CREATION PROCESS
US10473460B2 (en) * 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US10707175B2 (en) 2018-05-22 2020-07-07 Globalfoundries Inc. Asymmetric overlay mark for overlay measurement
CN113924638A (zh) 2019-03-28 2022-01-11 科磊股份有限公司 用于测量及校正半导体装置中的层之间的偏移的方法及用于其中的偏移目标
CN112838017A (zh) * 2019-11-22 2021-05-25 长鑫存储技术有限公司 光刻图形检测方法及系统
CN111290219B (zh) * 2020-01-20 2021-03-26 长江存储科技有限责任公司 测量晶圆套刻精度的方法和设备、计算机可读存储介质
KR102630496B1 (ko) 2020-04-15 2024-01-29 케이엘에이 코포레이션 반도체 디바이스의 오정합을 측정하는 데 유용한 디바이스 스케일 피쳐를 갖는 오정합 타겟
KR102608079B1 (ko) * 2020-05-05 2023-11-29 케이엘에이 코포레이션 고 지형 반도체 스택들에 대한 계측 타겟들
IL297343A (en) * 2020-05-07 2022-12-01 Asml Netherlands Bv A substrate that includes a target arrangement, and is associated with at least one pattern device, a lithographic method and a metrology method
US11686576B2 (en) * 2020-06-04 2023-06-27 Kla Corporation Metrology target for one-dimensional measurement of periodic misregistration
CN112034677B (zh) * 2020-09-17 2024-02-06 合肥晶合集成电路股份有限公司 一种套刻标记、套刻标记方法及套刻测量方法
US11862524B2 (en) * 2021-06-28 2024-01-02 Kla Corporation Overlay mark design for electron beam overlay
WO2023136845A1 (en) * 2022-01-13 2023-07-20 Kla Corporation Calibrated measurement of overlay error using small targets
KR102580204B1 (ko) * 2023-03-02 2023-09-19 (주)오로스 테크놀로지 1차원 오버레이 오차 측정을 위한 오버레이 마크, 이를 이용한 광학 수차 평가 방법, 이를 이용한 오버레이 마크 품질 평가 방법, 오버레이 측정 장치, 오버레이 측정 방법 및 반도체 소자의 제조방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000294487A (ja) 1999-04-06 2000-10-20 Matsushita Electronics Industry Corp 半導体装置製造用重ね合わせ測定マークの配置構造
US20050012928A1 (en) 2003-07-17 2005-01-20 Abdurrahman Sezginer Apparatus and method for measuring overlay by diffraction gratings
US20080024744A1 (en) 2006-07-27 2008-01-31 Asml Netherlands B.V. System and method to compensate for critical dimension non-uniformity in a lithography system

Family Cites Families (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL7606548A (nl) 1976-06-17 1977-12-20 Philips Nv Werkwijze en inrichting voor het uitrichten van een i.c.-patroon ten opzichte van een halfgelei- dend substraat.
US4166219A (en) 1978-05-19 1979-08-28 Bell Telephone Laboratories, Incorporated Detection of ground state hydrogen and deuterium
US4290384A (en) 1979-10-18 1981-09-22 The Perkin-Elmer Corporation Coating apparatus
US4437760A (en) 1981-12-07 1984-03-20 The Perkin-Elmer Corp. Reusable electrical overlay measurement circuit and process
US4538105A (en) 1981-12-07 1985-08-27 The Perkin-Elmer Corporation Overlay test wafer
US4475811A (en) 1983-04-28 1984-10-09 The Perkin-Elmer Corporation Overlay test measurement systems
US4568189A (en) 1983-09-26 1986-02-04 The United States Of America As Represented By The Secretary Of The Navy Apparatus and method for aligning a mask and wafer in the fabrication of integrated circuits
US4703434A (en) 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
JPS61168227A (ja) 1985-01-21 1986-07-29 Mitsubishi Electric Corp 微細パタ−ン露光用基板及び微細パタ−ン露光装置
JPS61170032A (ja) 1985-01-23 1986-07-31 Sumitomo Electric Ind Ltd 集積回路のマスク位置合せ用アライメントマ−ク
DE3530439A1 (de) 1985-08-26 1987-02-26 Siemens Ag Vorrichtung zum justieren einer mit mindestens einer justiermarke versehenen maske bezueglich eines mit mindestens einer gitterstruktur versehenen halbleiterwafers
US4714874A (en) 1985-11-12 1987-12-22 Miles Inc. Test strip identification and instrument calibration
IT1186523B (it) 1985-12-31 1987-11-26 Sgs Microelettronica Spa Procedimento per la valutazione dei parametri di processo nella fabbricazione di dispositivi a semiconduttore
NL8600639A (nl) 1986-03-12 1987-10-01 Asm Lithography Bv Werkwijze voor het ten opzichte van elkaar uitrichten van een masker en een substraat en inrichting voor het uitvoeren van de werkwijze.
GB2188417B (en) 1986-03-19 1990-02-21 British Steel Corp Molten metal gas analysis
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US5148214A (en) 1986-05-09 1992-09-15 Canon Kabushiki Kaisha Alignment and exposure apparatus
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
US4890239A (en) 1987-10-20 1989-12-26 Shipley Company, Inc. Lithographic process analysis and control system
US4855253A (en) 1988-01-29 1989-08-08 Hewlett-Packard Test method for random defects in electronic microstructures
JP2831643B2 (ja) 1988-02-23 1998-12-02 株式会社東芝 パターン露光方法
JP2666859B2 (ja) 1988-11-25 1997-10-22 日本電気株式会社 目合せ用バーニヤパターンを備えた半導体装置
JPH02260441A (ja) 1989-03-30 1990-10-23 Sumitomo Metal Ind Ltd 半導体素子
NL8900991A (nl) 1989-04-20 1990-11-16 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
DE4000785A1 (de) 1990-01-12 1991-07-18 Suess Kg Karl Justiermarken fuer zwei aufeinander einzujustierende objekte
DE69123610T2 (de) 1990-02-02 1997-04-24 Canon Kk Belichtungsverfahren
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
JPH0444307A (ja) 1990-06-12 1992-02-14 Nec Corp 半導体装置の製造方法
US5216257A (en) 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
NL9001611A (nl) 1990-07-16 1992-02-17 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
US5343292A (en) 1990-10-19 1994-08-30 University Of New Mexico Method and apparatus for alignment of submicron lithographic features
JPH04234930A (ja) 1991-01-10 1992-08-24 Shimano Inc 釣り用リール
EP0502679B1 (en) 1991-03-04 2001-03-07 AT&T Corp. Semiconductor integrated circuit fabrication utilizing latent imagery
JPH05127364A (ja) 1991-10-30 1993-05-25 Nikon Corp フオトマスク
US5296917A (en) 1992-01-21 1994-03-22 Mitsubishi Denki Kabushiki Kaisha Method of monitoring accuracy with which patterns are written
US5383136A (en) 1992-03-13 1995-01-17 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5617340A (en) 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
JP2530080B2 (ja) 1992-03-14 1996-09-04 株式会社東芝 半導体製造装置の評価装置およびその評価方法
US5479270A (en) 1992-05-19 1995-12-26 Eastman Kodak Company Method and apparatus for aligning depth images
US5403754A (en) 1992-09-30 1995-04-04 Texas Instruments Incorporated Lithography method for direct alignment of integrated circuits multiple layers
US5300786A (en) 1992-10-28 1994-04-05 International Business Machines Corporation Optical focus phase shift test pattern, monitoring system and process
US5438413A (en) 1993-03-03 1995-08-01 Kla Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
JPH06260390A (ja) 1993-03-05 1994-09-16 Toshiba Corp アライメント方法
US5604819A (en) 1993-03-15 1997-02-18 Schlumberger Technologies Inc. Determining offset between images of an IC
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5545593A (en) 1993-09-30 1996-08-13 Texas Instruments Incorporated Method of aligning layers in an integrated circuit device
KR970010666B1 (ko) * 1993-12-27 1997-06-30 현대전자산업 주식회사 반도체 소자의 패턴 중첩오차 측정방법
KR0168772B1 (ko) 1994-03-10 1999-02-01 김주용 포토마스크 및 그를 이용한 반도체 장치 제조 방법
US5699282A (en) 1994-04-28 1997-12-16 The United States Of America As Represented By The Secretary Of Commerce Methods and test structures for measuring overlay in multilayer devices
DE69531854T2 (de) 1994-08-02 2004-08-19 Koninklijke Philips Electronics N.V. Verfahren zur wiederholten abbildung eines maskenmusters auf einem substrat
US5477057A (en) 1994-08-17 1995-12-19 Svg Lithography Systems, Inc. Off axis alignment system for scanning photolithography
US5677091A (en) 1994-11-01 1997-10-14 International Business Machines Corporation Lithographic print bias/overlay target and applied metrology
KR100197191B1 (ko) 1994-11-14 1999-06-15 모리시다 요이치 레지스트 패턴 형성방법
US5629772A (en) 1994-12-20 1997-05-13 International Business Machines Corporation Monitoring of minimum features on a substrate
US5790254A (en) 1994-12-20 1998-08-04 International Business Machines Corporation Monitoring of minimum features on a substrate
JPH08233555A (ja) 1994-12-28 1996-09-13 Matsushita Electric Ind Co Ltd レジストパターンの測定方法及びレジストパターンの測定装置
US5923041A (en) 1995-02-03 1999-07-13 Us Commerce Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means
US5702567A (en) 1995-06-01 1997-12-30 Kabushiki Kaisha Toshiba Plurality of photolithographic alignment marks with shape, size and spacing based on circuit pattern features
US5596413A (en) 1995-08-17 1997-01-21 Lucent Technologies Inc. Sub-micron through-the-lens positioning utilizing out of phase segmented gratings
KR0170909B1 (ko) 1995-09-27 1999-03-30 김주용 반도체 소자의 오버레이 검사방법
JPH09115817A (ja) 1995-10-13 1997-05-02 Nikon Corp 露光方法及び装置
US5757507A (en) 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US5712707A (en) 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
GB9603262D0 (en) * 1996-02-16 1996-04-17 Bio Rad Micromeasurements Ltd Positional measurements
JP2842360B2 (ja) 1996-02-28 1999-01-06 日本電気株式会社 半導体装置およびその製造方法
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
JPH09244222A (ja) 1996-03-08 1997-09-19 Mitsubishi Electric Corp 重ね合わせ誤差測定用レチクル、そのレチクルを用いた重ね合わせ誤差測定方法および重ね合わせ誤差測定マーク
KR970067585A (ko) 1996-03-25 1997-10-13 오노 시게오 결상특성의 측정방법 및 투영노광방법
US5805290A (en) 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US5701013A (en) 1996-06-07 1997-12-23 Mosel Viltelic, Inc. Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5872042A (en) 1996-08-22 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for alignment mark regeneration
KR100367500B1 (ko) 1996-10-02 2003-08-14 주식회사 하이닉스반도체 노광 장비의 최적 포커스 확인 방법
US5731877A (en) 1996-10-08 1998-03-24 International Business Machines Corporation Automated system utilizing self-labeled target by pitch encoding
KR19980030438A (ko) 1996-10-29 1998-07-25 김영환 반도체 버어니어 구조 및 그것을 이용한 오버레이 정확도 측정방법
US5960125A (en) 1996-11-21 1999-09-28 Cognex Corporation Nonfeedback-based machine vision method for determining a calibration relationship between a camera and a moveable object
US5912983A (en) 1997-01-24 1999-06-15 Oki Electric Industry Co., Ltd Overlay accuracy measuring method
JPH10213895A (ja) 1997-01-30 1998-08-11 Sony Corp レチクルの合わせ測定用マーク
US5949547A (en) 1997-02-20 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. System for in-line monitoring of photo processing in VLSI fabrication
US5902703A (en) 1997-03-27 1999-05-11 Vlsi Technology, Inc. Method for measuring dimensional anomalies in photolithographed integrated circuits using overlay metrology, and masks therefor
US6172349B1 (en) 1997-03-31 2001-01-09 Kla-Tencor Corporation Autofocusing apparatus and method for high resolution microscope system
US6061119A (en) 1997-04-14 2000-05-09 Nikon Corporation Method of measuring image-forming error of projection optical system, method of manufacturing exposure apparatus, and method of manufacturing semiconductor device
JP3630269B2 (ja) 1997-08-18 2005-03-16 株式会社ルネサステクノロジ 重ね合わせマ−クおよびこの重ね合わせマークを使用した半導体装置の製造方法
US5953128A (en) 1997-08-28 1999-09-14 International Business Machines Corporation Optically measurable serpentine edge tone reversed targets
US5965309A (en) 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5976740A (en) 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5952241A (en) 1997-09-03 1999-09-14 Vlsi Technology, Inc. Method and apparatus for improving alignment for metal masking in conjuction with oxide and tungsten CMP
JP3580992B2 (ja) 1997-09-18 2004-10-27 旭化成マイクロシステム株式会社 フォトマスク
US5914784A (en) 1997-09-30 1999-06-22 International Business Machines Corporation Measurement method for linewidth metrology
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US6160622A (en) 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
JPH11325877A (ja) 1998-03-31 1999-11-26 Siemens Ag 測定誤差を減少させるための方法及び装置
US6077756A (en) 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
US5919714A (en) 1998-05-06 1999-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Segmented box-in-box for improving back end overlay measurement
US6140217A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6137578A (en) 1998-07-28 2000-10-24 International Business Machines Corporation Segmented bar-in-bar target
US6128089A (en) 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6061606A (en) 1998-08-25 2000-05-09 International Business Machines Corporation Geometric phase analysis for mask alignment
US6020966A (en) 1998-09-23 2000-02-01 International Business Machines Corporation Enhanced optical detection of minimum features using depolarization
US6037671A (en) 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
US6460265B2 (en) 1998-11-12 2002-10-08 International Business Machines Corporation Double-sided wafer exposure method and device
US6003223A (en) 1998-11-19 1999-12-21 Headway Technologies, Inc. Common alignment target image field stitching method for step and repeat alignment in photoresist
US6146910A (en) 1999-02-02 2000-11-14 The United States Of America, As Represented By The Secretary Of Commerce Target configuration and method for extraction of overlay vectors from targets having concealed features
TW569083B (en) 1999-02-04 2004-01-01 Asml Netherlands Bv Lithographic projection apparatus
US6042976A (en) 1999-02-05 2000-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of calibrating WEE exposure tool
US6346979B1 (en) 1999-03-17 2002-02-12 International Business Machines Corporation Process and apparatus to adjust exposure dose in lithography systems
US6084679A (en) 1999-04-02 2000-07-04 Advanced Micro Devices, Inc. Universal alignment marks for semiconductor defect capture and analysis
US6183919B1 (en) 1999-06-11 2001-02-06 International Business Machines Corporation Darkfield imaging for enhancing optical detection of edges and minimum features
US6335151B1 (en) 1999-06-18 2002-01-01 International Business Machines Corporation Micro-surface fabrication process
US6405096B1 (en) 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6612159B1 (en) 1999-08-26 2003-09-02 Schlumberger Technologies, Inc. Overlay registration error measurement made simultaneously for more than two semiconductor wafer layers
US6350548B1 (en) 2000-03-15 2002-02-26 International Business Machines Corporation Nested overlay measurement target
US6484060B1 (en) 2000-03-24 2002-11-19 Micron Technology, Inc. Layout for measurement of overlay error
TW588414B (en) 2000-06-08 2004-05-21 Toshiba Corp Alignment method, overlap inspecting method and mask
US6429667B1 (en) 2000-06-19 2002-08-06 International Business Machines Corporation Electrically testable process window monitor for lithographic processing
US6462818B1 (en) 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US6218200B1 (en) * 2000-07-14 2001-04-17 Motorola, Inc. Multi-layer registration control for photolithography processes
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
WO2002019415A1 (en) 2000-08-30 2002-03-07 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6766211B1 (en) 2000-10-03 2004-07-20 International Business Machines Corporation Structure and method for amplifying target overlay errors using the synthesized beat signal between interleaved arrays of differing periodicity
US6734971B2 (en) 2000-12-08 2004-05-11 Lael Instruments Method and apparatus for self-referenced wafer stage positional error mapping
US6879400B2 (en) 2000-12-11 2005-04-12 International Business Machines Corporation Single tone process window metrology target and method for lithographic processing
US6803995B2 (en) 2001-01-17 2004-10-12 International Business Machines Corporation Focus control system
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
JP2003014819A (ja) 2001-07-03 2003-01-15 Matsushita Electric Ind Co Ltd 半導体配線基板,半導体デバイス,半導体デバイスのテスト方法及びその実装方法
JP4046961B2 (ja) 2001-09-03 2008-02-13 キヤノン株式会社 位置検出方法、位置検出装置、露光装置及び露光方法
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6975398B2 (en) 2001-10-15 2005-12-13 International Business Machines Corporation Method for determining semiconductor overlay on groundrule devices
US6638671B2 (en) 2001-10-15 2003-10-28 International Business Machines Corporation Combined layer-to-layer and within-layer overlay control system
KR100809955B1 (ko) 2001-11-27 2008-03-06 삼성전자주식회사 포토리소그래피 공정의 얼라인 계측방법
KR100435260B1 (ko) 2001-12-03 2004-06-11 삼성전자주식회사 포토리소그래피 공정의 얼라인 계측방법
US6842237B2 (en) 2001-12-28 2005-01-11 International Business Machines Corporation Phase shifted test pattern for monitoring focus and aberrations in optical projection systems
US20030160163A1 (en) 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
US7190823B2 (en) * 2002-03-17 2007-03-13 United Microelectronics Corp. Overlay vernier pattern for measuring multi-layer overlay alignment accuracy and method for measuring the same
US6664121B2 (en) 2002-05-20 2003-12-16 Nikon Precision, Inc. Method and apparatus for position measurement of a pattern formed by a lithographic exposure tool
EP1400854A3 (en) * 2002-09-20 2009-07-08 ASML Netherlands B.V. Alignment systems and methods for lithographic systems
KR100597041B1 (ko) * 2002-09-20 2006-07-04 에이에스엠엘 네델란즈 비.브이. 디바이스 검사방법 및 장치
US6869739B1 (en) 2003-01-28 2005-03-22 International Business Machines Corporation Integrated lithographic print and detection model for optical CD
JP2004296921A (ja) 2003-03-27 2004-10-21 Canon Inc 位置検出装置
TWI297045B (en) * 2003-05-07 2008-05-21 Microfabrica Inc Methods and apparatus for forming multi-layer structures using adhered masks
US7346878B1 (en) 2003-07-02 2008-03-18 Kla-Tencor Technologies Corporation Apparatus and methods for providing in-chip microtargets for metrology or inspection
US7608468B1 (en) * 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
US7180593B2 (en) 2003-11-05 2007-02-20 Macronix International Co., Ltd. Overlay mark for aligning different layers on a semiconductor wafer
US6952886B1 (en) * 2003-11-10 2005-10-11 1St Silicon (Malaysia) Sdn Bhd Overlay vernier
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7042551B2 (en) 2004-02-03 2006-05-09 International Business Machines Corporation Method of patterning process metrology based on the intrinsic focus offset
US7065737B2 (en) * 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
US20050286052A1 (en) * 2004-06-23 2005-12-29 Kevin Huggins Elongated features for improved alignment process integration
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7096127B2 (en) 2004-10-13 2006-08-22 Infineon Technologies Ag Measuring flare in semiconductor lithography
US7379184B2 (en) 2004-10-18 2008-05-27 Nanometrics Incorporated Overlay measurement target
US7126669B2 (en) 2004-12-27 2006-10-24 Asml Netherlands B.V. Method and system for automated process correction using model parameters, and lithographic apparatus using such method and system
US7556898B2 (en) * 2005-09-01 2009-07-07 Infineon Technologies Ag Overlay target for polarized light lithography
US7474401B2 (en) 2005-09-13 2009-01-06 International Business Machines Corporation Multi-layer alignment and overlay target and measurement method
DE102005046973B4 (de) 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7526749B2 (en) * 2005-10-31 2009-04-28 Kla-Tencor Technologies Corporation Methods and apparatus for designing and using micro-targets in overlay metrology
US7532305B2 (en) 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7616313B2 (en) 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
KR101244914B1 (ko) 2006-07-03 2013-03-18 삼성전자주식회사 디지털 촬영장치의 제어방법 및 이 방법을 채용한 디지털촬영장치
US7671990B1 (en) 2006-07-28 2010-03-02 Kla-Tencor Technologies Corporation Cross hatched metrology marks and associated method of use
TWI302341B (en) * 2006-08-04 2008-10-21 Nanya Technology Corp Improved overlay mark
KR20080035345A (ko) 2006-10-19 2008-04-23 삼성전자주식회사 오버레이 계측설비 및 이를 이용한 오버레이 계측방법
US20080121939A1 (en) * 2006-11-06 2008-05-29 Michael Murray Methods of automatically generating dummy fill having reduced storage size
US7605907B2 (en) * 2007-03-27 2009-10-20 Asml Netherlands B.V. Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
US7473502B1 (en) 2007-08-03 2009-01-06 International Business Machines Corporation Imaging tool calibration artifact and method
DE102007046850B4 (de) * 2007-09-29 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Bestimmen einer Überlagerungsgenauigkeit
US7879515B2 (en) 2008-01-21 2011-02-01 International Business Machines Corporation Method to control semiconductor device overlay using post etch image metrology
CN101251724B (zh) * 2008-03-31 2010-09-15 上海微电子装备有限公司 一种用于光刻装置的对准系统、对准方法和光刻装置
US7684038B1 (en) 2008-04-04 2010-03-23 Kla-Tencor Corporation Overlay metrology target
US9097989B2 (en) * 2009-01-27 2015-08-04 International Business Machines Corporation Target and method for mask-to-wafer CD, pattern placement and overlay measurement and control
US8361683B2 (en) * 2010-04-09 2013-01-29 International Business Machines Corporation Multi-layer chip overlay target and measurement
US8513822B1 (en) * 2010-06-30 2013-08-20 Kla-Tencor Corporation Thin overlay mark for imaging based metrology
NL2013210A (en) * 2013-08-07 2015-02-10 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000294487A (ja) 1999-04-06 2000-10-20 Matsushita Electronics Industry Corp 半導体装置製造用重ね合わせ測定マークの配置構造
US20050012928A1 (en) 2003-07-17 2005-01-20 Abdurrahman Sezginer Apparatus and method for measuring overlay by diffraction gratings
US20080024744A1 (en) 2006-07-27 2008-01-31 Asml Netherlands B.V. System and method to compensate for critical dimension non-uniformity in a lithography system

Also Published As

Publication number Publication date
JP6165283B2 (ja) 2017-07-19
JP5894158B2 (ja) 2016-03-23
JP2013534314A (ja) 2013-09-02
US20120033215A1 (en) 2012-02-09
US9927718B2 (en) 2018-03-27
TW201841083A (zh) 2018-11-16
TWI548047B (zh) 2016-09-01
KR20200045573A (ko) 2020-05-04
EP2601675A4 (en) 2017-08-23
CN105589301A (zh) 2016-05-18
CN103038861B (zh) 2016-04-13
TW201637157A (zh) 2016-10-16
CN105759570B (zh) 2019-08-09
CN103038861A (zh) 2013-04-10
WO2012018673A2 (en) 2012-02-09
TWI666529B (zh) 2019-07-21
TW201413893A (zh) 2014-04-01
US10527954B2 (en) 2020-01-07
KR101476080B1 (ko) 2014-12-23
TW201731050A (zh) 2017-09-01
WO2012018673A3 (en) 2012-05-18
TW201220447A (en) 2012-05-16
KR101700435B1 (ko) 2017-01-26
TWI429038B (zh) 2014-03-01
TWI591782B (zh) 2017-07-11
EP2601675A2 (en) 2013-06-12
KR101380532B1 (ko) 2014-04-01
US20180275530A1 (en) 2018-09-27
KR20140125459A (ko) 2014-10-28
CN113391526A (zh) 2021-09-14
TWI624917B (zh) 2018-05-21
EP2601675B1 (en) 2021-09-01
CN105589301B (zh) 2018-12-25
KR20170013399A (ko) 2017-02-06
KR20130090421A (ko) 2013-08-13
CN110045579B (zh) 2022-01-14
CN105759570A (zh) 2016-07-13
KR20130088848A (ko) 2013-08-08
KR20180026582A (ko) 2018-03-12
JP2017167567A (ja) 2017-09-21
JP2016105111A (ja) 2016-06-09
CN110045579A (zh) 2019-07-23
EP3916758A1 (en) 2021-12-01
JP6498236B2 (ja) 2019-04-10

Similar Documents

Publication Publication Date Title
KR102177681B1 (ko) 다층 오버레이 계측 타겟 및 상보적 오버레이 계측 측정 시스템
US9709903B2 (en) Overlay target geometry for measuring multiple pitches
TWI648523B (zh) 用於量測藉由微影程序而形成於基板上之結構之度量衡裝置及其方法與微影系統
WO2013134487A1 (en) Imaging overlay metrology target and complimentary overlay metrology measurement system
KR102262185B1 (ko) 측정 시스템, 리소그래피 시스템 및 타겟을 측정하는 방법
KR102264717B1 (ko) 계측 장치, 리소그래피 시스템 및 구조체를 측정하는 방법
KR20150099853A (ko) 검사 장치, 검사 방법, 노광 시스템 및 노광 방법, 및 디바이스 제조 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant