JP6165283B2 - オーバーレイ計測測定システム - Google Patents

オーバーレイ計測測定システム Download PDF

Info

Publication number
JP6165283B2
JP6165283B2 JP2016034069A JP2016034069A JP6165283B2 JP 6165283 B2 JP6165283 B2 JP 6165283B2 JP 2016034069 A JP2016034069 A JP 2016034069A JP 2016034069 A JP2016034069 A JP 2016034069A JP 6165283 B2 JP6165283 B2 JP 6165283B2
Authority
JP
Japan
Prior art keywords
target
target structure
structures
overlay
process layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016034069A
Other languages
English (en)
Other versions
JP2016105111A (ja
Inventor
ダニエル カンデル
ダニエル カンデル
ウラディミール レヴィンスキー
ウラディミール レヴィンスキー
ガイ コーヘン
ガイ コーヘン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2016105111A publication Critical patent/JP2016105111A/ja
Application granted granted Critical
Publication of JP6165283B2 publication Critical patent/JP6165283B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means

Description

関連出願の相互参照
本願は、以下に列挙する出願(単数または複数)(「関連出願」)に関するものであり、係る出願(単数または複数)の最先の有効な出願日の利益を主張するものである(例えば、当該関連出願の任意および全ての親出願、祖父出願、曽祖父出願等の、仮特許出願以外の最先の優先日、または、仮特許出願のための米国法典第35編第119条(e)に基づく利益を主張するものである)。
米国特許商標庁の法定外要件のために、本願は、2010年8月3日に出願され、Daniel Kandel、Vladimir Levinski、およびGuy Cohenを発明者とし、「MULTI−LAYER OVERLAY METROLOGY」を発明の名称とする、米国仮特許出願番号第61/370,341号の正規の特許出願(非仮出願)を構成するものである。
本発明は、全般的にオーバーレイ計測法のためのオーバーレイターゲットに関し、さらに詳細には、多層ターゲットおよび相補的計測システムに関する。
様々な製造環境および製作環境において、所与の試料の様々な層間でのまたは特定の層内での位置合わせを制御する必要がある。例えば、半導体処理の状況においては、半導体系デバイスは一連の層を基板上に製造することにより作成され得、これらの層の一部または全部は様々な構造を含むものである。これらの構造の、単一層内における相対的位置および他の層における構造に対する相対的位置の両方が、半導体系デバイスの性能に対して極めて重要となる。様々な構造間の位置合わせ不良はオーバーレイエラーとして知られる。
ウエハ上の連続するパターン化された層のオーバーレイエラーの測定は、集積回路の製造およびデバイスの製造において用いられる極めて重要なプロセス制御技術の1つである。オーバーレイの精度は、全般的に、第1パターン化された層の上方または下方に配置された第2パターン化された層に対して第1パターン化された層を如何に正確に位置合わせするかの判定と、同一層上に配置された第2パターンに対して第1パターンを如何に正確に位置合わせするかの判定とに関連する。現在のところ、オーバーレイの測定は、ウエハの層とともにプリントされたテストパターンを介して実行される。これらのテストパターンの画像は撮像ツールを介して取り込まれ、分析アルゴリズムが、パターンの相対的変位を取り込まれた画像から計算するために用いられる。係るオーバーレイ計測ターゲット(または「マーク」)は全般的に2つの層において形成された特徴を含む。なお、これらの特徴は、2つの層の特徴間の空間的ずれ(すなわち、オーバーレイまたは層間のずれ)の測定を可能にするよう構成されたものである。図1A〜図2Bは先行技術に係る典型的なオーバーレイターゲットを示す。図1Aおよび図1Bはそれぞれ対称中心を中心とする180度回転対称および90度回転対称を有するオーバーレイターゲットを示す。さらに、図1Aおよび図1Bのターゲット構造は、個別に90度の回転に対して不変であるパターン要素(例えば、102a〜108b)を含む。個々のパターン要素の90度不変性により、図1Aおよび図1Bのターゲット100およびターゲット101のパターン要素はXオーバーレイ測定およびYオーバーレイ測定に対して好適となる。
図2Aおよび図2Bは、それぞれ90度回転および180度回転に対して不変性を示すターゲット200およびターゲット201を示す。図1Aおよび図1Bと対比して、パターン要素(例えば、202a〜208d)は180度回転対称のみを示す。そのため、X方向およびY方向の両方のオーバーレイを測定するためには、少なくとも2つの直交するよう配向されたパターン要素が用いられなければならない。例えば、パターン要素202a、204a、202d、および204dが第1方向におけるオーバーレイを測定するために用いられる一方で、要素202b、204b、204c、および202cが第1方向に直交する第2方向におけるオーバーレイを測定するために用いられ得る。
米国特許出願公開第2005/0012928号明細書 米国特許出願公開第2006/0066855号明細書
既存のターゲットおよびターゲット測定システムは多数の実装状況に対して好適であるが、本明細書においては、多くの改善がなされ得ると考えられる。本明細書において説明される本発明は、改善された計測測定を可能にするためのターゲットおよび装置を開示する。
本発明は、多層オーバーレイターゲットからのオーバーレイを測定するシステムであって、半導体デバイスの1つ以上のプロセスレイヤー上に配置された複数のターゲット構造に光を照射するよう構成された照射源であり、前記複数のターゲット構造は、3つ以上のターゲット構造を含み、前記3つ以上のターゲット構造は、第1ターゲット構造と、第2ターゲット構造と、少なくとも1つの第3ターゲット構造と、を含み、前記ターゲット構造の少なくともいくつかは2つ以上のパターン要素のセットを含み、前記3つ以上のターゲット構造は、前記3つ以上のターゲット構造が位置合わせされたとき、共通の対称中心を共有するよう構成され、前記ターゲット構造のうちの少なくとも1つは前記共通の対称中心を中心とする90度回転に対して不変であり、前記第1ターゲット構造は第1プロセスレイヤーに配置され、前記第2ターゲット構造は前記第1プロセスレイヤーとは異なる第2プロセスレイヤーに配置され、前記少なくとも1つの第3ターゲット構造は前記第1プロセスレイヤーおよび前記第2プロセスレイヤーとは異なる少なくとも1つの第3プロセスレイヤーに配置され、2つ以上の前記パターン要素は3つ以上のサブ要素を備え、前記3つ以上のサブ要素は周期的なパターンで配列される、照射源と、前記複数のターゲット構造からの反射光を集光するよう構成された検出器と、非一時的な記憶媒体に保持される一連のプログラム命令を実行するよう構成された1つ以上のプロセッサであって、前記一連のプログラム命令が、前記1つ以上のプロセッサに、前記複数のターゲット構造から集光された光に基づいて、オーバーレイエラーを決定させるよう構成された、1つ以上のプロセッサとを含むシステムである。
また、本発明は、多層オーバーレイターゲットからのオーバーレイを測定するシステムであって、半導体デバイスの1つ以上のプロセスレイヤー上に配置された複数のターゲット構造に光を照射するよう構成された照射源であり、前記複数のターゲット構造は、3つ以上のターゲット構造を含み、前記3つ以上のターゲット構造は、第1ターゲット構造と、第2ターゲット構造と、少なくとも1つの第3ターゲット構造と、を含み、前記ターゲット構造の少なくともいくつかは2つ以上のパターン要素のセットを含み、前記3つ以上のターゲット構造は、前記3つ以上のターゲット構造が位置合わせされたとき、共通の対称中心を共有するよう構成され、前記第1ターゲット構造は前記共通の対称中心を中心とする90度回転に対して不変であり、前記第2ターゲット構造は前記共通の対称中心を中心とする90度回転に対して不変であり、前記少なくとも1つの第3ターゲット構造は前記共通の対称中心を中心とする90度回転に対して不変であり、前記第1ターゲット構造は第1プロセスレイヤーに配置され、前記第2ターゲット構造は前記第1プロセスレイヤーとは異なる第2プロセスレイヤーに配置され、前記少なくとも1つの第3ターゲット構造は前記第1プロセスレイヤーおよび前記第2プロセスレイヤーとは異なる少なくとも1つの第3プロセスレイヤーに配置され、前記2つ以上のパターン要素の少なくともいくつかが個別の対称中心を有する、照射源と、前記複数のターゲット構造からの反射光を集光するよう構成された検出器と、非一時的な記憶媒体に保持される一連のプログラム命令を実行するよう構成された1つ以上のプロセッサであって、前記一連のプログラム命令が、前記1つ以上のプロセッサに、前記複数のターゲット構造から集光された光に基づいて、オーバーレイエラーを決定させるよう構成された、1つ以上のプロセッサとを含むシステムである。
また、本発明は、多層オーバーレイターゲットからのオーバーレイを測定するシステムであって、半導体デバイスの1つ以上のプロセスレイヤー上に配置された複数のターゲット構造に光を照射するよう構成された照射源であり、前記複数のターゲット構造は、3つ以上のターゲット構造を含み、前記3つ以上のターゲット構造は、第1ターゲット構造と、第2ターゲット構造と、少なくとも1つの第3ターゲット構造と、を含み、前記ターゲット構造の少なくともいくつかは2つ以上のパターン要素のセットを含み、前記2つ以上のパターン要素の少なくともいくつかは、反射に対して不変であり、前記3つ以上のターゲット構造は、前記3つ以上のターゲット構造が位置合わせされたとき、共通の対称中心を共有するよう構成され、前記第1ターゲット構造は第1プロセスレイヤーに配置され、前記第2ターゲット構造は前記第1プロセスレイヤーとは異なる第2プロセスレイヤーに配置され、前記少なくとも1つの第3ターゲット構造は前記第1プロセスレイヤーおよび前記第2プロセスレイヤーとは異なる少なくとも1つの第3プロセスレイヤーに配置される、照射源と、前記複数のターゲット構造からの反射光を集光するよう構成された検出器と、非一時的な記憶媒体に保持される一連のプログラム命令を実行するよう構成された1つ以上のプロセッサであって、前記一連のプログラム命令が、前記1つ以上のプロセッサに、前記複数のターゲット構造から集光された光に基づいて、オーバーレイエラーを決定させるよう構成された、1つ以上のプロセッサとを含むシステムである。
また、本発明は、多層オーバーレイターゲットからのオーバーレイを測定するシステムであって、半導体デバイスの1つ以上のプロセスレイヤー上に配置された複数のターゲット構造に光を照射するよう構成された照射源であり、前記複数のターゲット構造は、3つ以上のターゲット構造を含み、前記3つ以上のターゲット構造は、第1ターゲット構造と、第2ターゲット構造と、少なくとも1つの第3ターゲット構造と、を含み、前記ターゲット構造の少なくともいくつかは2つ以上のパターン要素のセットを含み、前記ターゲット構造の少なくともいくつかは反射に対して不変であり、前記3つ以上のターゲット構造は、前記3つ以上のターゲット構造が位置合わせされたとき、共通の対称中心を共有するよう構成され、前記第1ターゲット構造は第1プロセスレイヤーに配置され、前記第2ターゲット構造は前記第1プロセスレイヤーとは異なる第2プロセスレイヤーに配置され、前記少なくとも1つの第3ターゲット構造は前記第1プロセスレイヤーおよび前記第2プロセスレイヤーとは異なる少なくとも1つの第3プロセスレイヤーに配置される、照射源と、前記複数のターゲット構造からの反射光を集光するよう構成された検出器と、非一時的な記憶媒体に保持される一連のプログラム命令を実行するよう構成された1つ以上のプロセッサであって、前記一連のプログラム命令が、前記1つ以上のプロセッサに、前記複数のターゲット構造から集光された光に基づいて、オーバーレイエラーを決定させるよう構成された、1つ以上のプロセッサとを含むシステムである。
また、本発明は、多層オーバーレイターゲットからのオーバーレイを測定するシステムであって、半導体デバイスの1つ以上のプロセスレイヤー上に配置された複数のターゲット構造に光を照射するよう構成された照射源であり、前記複数のターゲット構造は、4つ以上のターゲット構造を含み、前記4つ以上のターゲット構造は、第1ターゲット構造と、第2ターゲット構造と、第3ターゲット構造と、少なくとも1つの第4ターゲット構造と、を含み、前記ターゲット構造の少なくともいくつかは2つ以上のパターン要素のセットを含み、前記4つ以上のターゲット構造は、前記4つ以上のターゲット構造が位置合わせされたとき、共通の対称中心を共有するよう構成され、前記第1ターゲット構造、前記第2ターゲット構造、前記第3ターゲット構造、および前記第4ターゲット構造は前記共通の対称中心を中心とする180度回転に対して不変であり、かつ前記共通の対称中心を中心とする90度回転に対して不変でなく、前記第1ターゲット構造は第1プロセスレイヤーに配置され、前記第2ターゲット構造は前記第1プロセスレイヤーとは異なる第2プロセスレイヤーに配置され、前記第3ターゲット構造は前記第1プロセスレイヤーおよび前記第2プロセスレイヤーとは異なる第3プロセスレイヤーに配置され、前記少なくとも1つの第4ターゲット構造は前記第1プロセスレイヤー、前記第2プロセスレイヤー、および前記第3プロセスレイヤーとは異なる第4プロセスレイヤーに配置される、照射源と、前記複数のターゲット構造からの反射光を集光するよう構成された検出器と、非一時的な記憶媒体に保持される一連のプログラム命令を実行するよう構成された1つ以上のプロセッサであって、前記一連のプログラム命令が、前記1つ以上のプロセッサに、前記複数のターゲット構造から集光された光に基づいて、オーバーレイエラーを決定させるよう構成された、1つ以上のプロセッサとを含むシステムである。
本開示の多くの利点は、以下の添付の図面を参照することにより、当業者により、よりよく理解され得る。
オーバーレイターゲットの上面図である。 オーバーレイターゲットの上面図である。 オーバーレイターゲットの上面図である。 オーバーレイターゲットの上面図である。 本発明の1つの実施形態に係る多層オーバーレイターゲットの上面図である。 本発明の1つの実施形態に係る多層オーバーレイターゲットの上面図である。 本発明の1つの実施形態に係る多層オーバーレイターゲットの上面図である。 本発明の1つの実施形態に係る多層オーバーレイターゲットの上面図である。 本発明の1つの実施形態に係る多層オーバーレイターゲットの上面図である。 本発明の1つの実施形態に係る多層オーバーレイターゲットの上面図である。 本発明の1つの実施形態に係る、ダミーフィルが存在する場合においてプリントされた多層オーバーレイターゲットの上面図である。 本発明の1つの実施形態に係る、ダミーフィルが存在する場合においてプリントされた多層オーバーレイターゲットの上面図である。 本発明の1つの実施形態に係る、ダミーフィルが存在する場合においてプリントされた多層オーバーレイターゲットの上面図である。 多層オーバーレイ計測ターゲットのシステム好適コントラスト増強のブロック図である。 多層オーバーレイ計測ターゲットのシステム好適コントラスト増強のブロック図である。 本発明の1つの実施形態に係る、コントラスト増強に好適である照明瞳構造の概略図である。 本発明の1つの実施形態に係る、コントラスト増強に好適である照明瞳構造の概略図である。 本発明の1つの実施形態に係る、コントラスト増強に好適である照明瞳構造の概略図である。
添付の図面に図示される開示された主題について、ここで詳細に参照する。
図3〜図10を全般的に参照すると、本開示にしたがって説明される撮像に基づくオーバーレイ計測に対して好適であるオーバーレイターゲットが説明される。全般的な意味において、本発明のオーバーレイターゲットは半導体ウエハの2つの連続する処理層間のオーバーレイエラーを判定するために用いられ得る。例えば、オーバーレイターゲットは第2半導体層に対する第1半導体層の位置合わせを測定するために利用され得る。なお、ここで第1層および第2層は連続して配置されたものである。加えて、オーバーレイターゲットは2つ以上の異なる処理(例えば、リソグラフ露光)により共通の半導体層上に形成された2つの構造間の位置合わせエラーを判定するために用いられ得る。例えば、オーバーレイターゲットは第2パターンに対する第1パターンの位置合わせを測定するために利用され得る。なお、ここで第1パターンおよび第2パターンは同一の半導体層上に形成された連続するパターンである。
例えば、2つ以上のオーバーレイターゲットを利用する測定において、オーバーレイターゲットは、第1層および第2層が正しく位置合わせされている場合にオーバーレイターゲットの第1構造および第2構造のパターン要素も位置合わせされるよう、第1ウエハ層および第2ウエハ層上の特定場所にプリントされ得る。一方、第1層および第2層が「位置合わせ不良」である場合、所与の薄いオーバーレイマーク100の第1構造102および第2構造104のパターン要素間に相対的ずれが存在し、様々な技術を用いて測定可能である。
本明細書において説明される構造およびパターン要素は、例えば光リソグラフ技術、エッチング技術、および蒸着技術を例としてあげ得るがこれらに限定されない半導体ウエハ処理に対して好適である当該技術分野において既知である任意の処理を用いて製造され得る。オーバーレイターゲット、オーバーレイターゲットに含まれた構造、パターン要素、パターンサブ要素をプリントするための方法は、2006年2月23日に出願された米国特許出願番号第11/179,819号において概説され、参照することにより本明細書に援用される。
図3は、本発明の模範的な実施形態に係る、撮像に基づく計測法に対して好適である6層オーバーレイターゲット300の上面図を示す。1つの態様において、オーバーレイターゲット300は3つ以上のターゲット構造を備え得る。ターゲット300の他の態様において、オーバーレイターゲット300のターゲット構造のそれぞれは2つ以上のパターン要素を備える。本開示の目的のために、図2(および本開示全体を通しての図面)におけるテクスチャパターンは、ターゲットの異なるターゲット構造を表すために用いられ、同一のターゲット構造に属するパターン要素は同一のテクスチャを有することに注意すべきである。選択されたテクスチャパターンは関連するパターン要素の構造的側面を表すものではなく、単に同一のターゲット構造のパターン要素を表すために利用されるものであるため、本開示の様々な図面において表示されるテクスチャパターンは限定的なものではないと解釈すべきである。例えば、図3に示されるようにターゲット300は6つのターゲット構造を含み得る(各構造はユニークなテクスチャを用いて示される)。さらに、ターゲット300の6つのターゲット構造のそれぞれは2つのパターン要素を含み得る。例えば、図3に示されるように、第1構造はパターン要素302aおよび302bを含み、第2構造はパターン要素304aおよび304bを含み、第3構造はパターン要素306aおよび306bを含み、第4構造は、パターン要素308aおよび308bを含み、第5構造はパターン要素310aおよび310bを含み、第6構造はパターン要素312aおよび312bを含み得る。さらに全般的には、ターゲット300の所与の構造(すなわち、第1、第2、第3〜第N構造)は、2つのパターン要素から第Nパターン要素まで(第Nパターンは含まれる)を含み得る。
本発明のターゲット300の他の態様において、ターゲット300のターゲット構造のそれぞれは、それぞれのターゲット構造が共通の対称中心110を中心とする180度回転に対して不変となるよう設計される。例えば、図3に示されるように、共通の対称中心110を中心としてターゲット構造を180度回転させると、これらの構造の上面図画像は回転以前の構造の上面図画像に対して依然として同等である。その結果、複数の個別構造から構成されるターゲット全体は、正しく位置合わせされている場合、共通の対称中心110を中心とする180度回転に対して不変となることが、当業者により認識されるであろう。1つの実施形態において、図3に示されるように、各構造の2つのパターン要素は、相互に対して対角線上に対向する位置において配向され得る。その結果、オーバーレイターゲットは全体として180度回転対称となる。
共通の対称中心110を中心とする180度回転に対して不変であるオーバーレイターゲット300を利用することにより、3つ以上の層間でのオーバーレイ計測法にターゲット300を用いることが可能となることが、ここで認識される。このように、オーバーレイ計測測定は、オーバーレイターゲット300に存在する6つのターゲット構造の任意のペアを利用して実施され得る。さらに、ターゲット300の各構造の対称中心のコロケーションにより、オーバーレイ計測測定は、6つの構造全部から単一の画像取り込みで取得され得る。
第1層および第2層が正しく位置合わせされている場合に第1構造および第2構造は設計により共通の対称中心を共有する一方で、第1層および第2層間が位置合わせ不良である場合は第1構造および第2構造が相互に対してずれることが認識されるべきである。位置合わせ不良の結果として、第1構造の対称中心および第2構造の対称中心にずれが生じ、第1構造および第2構造の対称中心はもはや一致しないであろう。この概念が本発明の所与のターゲット内の構造の全部に対しても適用され得ることが認識される。オーバーレイ測定を可能にするものは、ターゲット300の様々な構造の対称中心間のこのずれの測定である。本明細書において説明されるターゲット200の状況において用いられ得る測定技術は、2007年7月30日に出願された米国特許出願番号第11/830,782号および2005年7月11日に出願された米国特許出願番号第11/179,819号において説明され、参照することにより本明細書に援用される。
他の態様において、ターゲット300の各構造の各パターン要素は個別の対称中心を有する。さらに、300のパターン要素は、各パターン要素(例えば、302a〜302b、304a〜304b、その他)が個別のパターン要素の対称中心を中心とする90度回転に対して不変となるよう設計される。ターゲット300の各構造の各パターン要素が4回回転対称であることの結果として、Xオーバーレイ測定およびYオーバーレイ測定は同一のパターン要素を利用して実行され得る。
図3において示されるターゲット内のターゲット構造の個数およびパターン要素の個数は限定を表すものではなく、むしろ性質上、例示として解釈されるべきであることが、当業者により認識されるべきである。
さらに、図3に示される長方形のターゲット領域の使用が限定ではないこと、および全般的に様々なマーク領域形状(例えば、正方形、台形、平行四辺形、または楕円形)がオーバーレイターゲットの境界の周囲を特徴付けるために用いられ得ることが当業者により認識されるであろう。例えば、所与のターゲットの構造のセットは、最も外側の縁部が長円形形状または円形形状を有するターゲット領域を形成するよう配列され得る。
全般的に、第1構造および第2構造の様々なパターン要素の2次元形状は限定的ではない。そのため、図3に示されるパターン要素の正方形形状は限定としてではなく単なる例示としてのみ解釈されるべきである。ターゲット構造300のパターン要素(例えば、302a〜312b)に要求される90度回転不変性を生成し得る様々なパターン要素が存在することが認識される。例えば、ターゲット構造300のパターン要素は、とりわけ正方形形状、十字形状、またはダイヤモンド形状を有するパターン要素を含み得る。
他の態様において、図3に示されるように、第1構造のパターン要素は第2構造のパターン要素と同等であり得る。例えば、ターゲット構造300のパターン要素の全部は正方形形状を有し得る。
他の態様において、ターゲット構造300の様々な構造のパターン要素は異なり得る。例えば、図示はされないが、第1構造のパターン要素302aおよび302bは第2構造のパターン要素304aおよび304bと異なり得る。例えば、第1構造のパターン要素302aおよび302bは正方形形状を有する一方で、第2構造のパターン要素304aおよび304bは「十字」形状(図示せず)を有し得る。
他の態様において、単一のターゲット構造(すなわち、第1構造または第2構造)内のパターン要素の形状は一様であり得る。さらに詳細には、所与の構造内のパターン要素は同一形状を有し得る。例えば、第3ターゲット構造のパターン要素306aおよび306bの両方は正方形形状を有し得る。
他の態様において、所与のターゲット構造(すなわち、第1構造または第2構造)内のパターン要素の形状が一様ではない場合もある。さらに詳細には、所与の構造は2つ以上のパターン要素形状を含み得る。例えば、第4構造は「十字」形状(図示せず)を有するパターン要素308a、および正方形形状を有するパターン要素308bを含み得る。パターン要素の形状およびパターン要素の方向の結果としてターゲット構造が共通の対称中心を中心とする180度回転不変性を有し、各ターゲット構造の各パターン要素が個別の対称中心を中心とする90度回転不変性を有する限り、オーバーレイターゲット300のターゲット構造のパターン要素の形状については全般的な限定が存在しないことを認識すべきである。
オーバーレイターゲット300の構造のパターン要素は様々なセットの空間的位置にしたがって配列され得る。例えば、第1構造のパターン要素302aおよび302bと、第2構造のパターン要素304aおよび304bと、第3構造のパターン要素306aおよび306bと、第4構造のパターン要素308aおよび308bと、第5構造のパターン要素310aおよび310bと、第6構造のパターン要素312aおよび312bとは、これらのパターン要素が周期的パターンを、または非周期的パターンを形成するよう、配列され得る。例えば、図3に示されるように、パターン要素302a〜312bの2次元配列は2次元周期的配列を形成する。様々な配列はターゲット300の180度回転不変性を形成するために好適であることが、ここで考えられる。
図4は、本発明の代替的な実施形態に係るオーバーレイターゲット400の上面図を示す。出願人は、特記なき限り、ターゲット300に対して上記で提供された説明が本開示の他の部分においても適用されると解釈すべきであることをここで注記する。
本明細書において上記で説明されたターゲット300の場合と同様に、多層オーバーレイターゲット400は、各ターゲット構造が2つ以上のパターン要素を含む3つ以上のターゲット構造を備え得る。例えば、オーバーレイターゲット400は、各ターゲット構造が4つのパターン要素を含む6つのターゲット構造を含み得る。例えば、図4に示されるように、第1構造はパターン要素402a、402b、402c、および402dを、第2構造はパターン要素404a、404b、404c、および404dを、第3構造はパターン要素406a、406b、406c、および406dを、以下同様にその他を、含み得る。ターゲット300の場合と同様に、全般的に、ターゲット400の所与の構造(すなわち、第1、第2、第3〜第N構造)は、2つのパターン要素から第Nパターン要素まで(第Nパターンは含まれる)を含み得る。
ターゲット400の他の態様において、上述のターゲット300と同様に、ターゲット400のターゲット構造のそれぞれは、それぞれのターゲット構造が共通の対称中心110を中心とする180度回転に対して不変となり、その結果、ターゲット400も180度回転に対して不変となるよう、設計される。例えば、図4に示されるように、ターゲット400の第1構造のパターン要素402aおよび402bは、パターン要素402cおよび402dから対角線上に配向され、第1ターゲット構造がその対称中心110を中心とする180度回転に対して不変となるよう配列される。しかし、ターゲット400のターゲット構造が90度回転に対して不変ではないことに注意すべきである。
上述のターゲット300と同様に、ターゲット400も3つ以上の層間のオーバーレイ計測法に利用され得る。その結果、オーバーレイ計測測定はオーバーレイターゲット400に存在する6つのターゲット構造の任意のペアを利用して実行され得る。さらに、ターゲット400の各構造の対称中心110のコロケーションにより、オーバーレイ計測測定は、単一の画像取り込みで6つの構造全部から取得され得る。
本発明のさらなる態様において、各ターゲット構造に対して、Xオーバーレイ測定に対して利用されるパターン要素のセット(例えば、402aおよび402d)に対する対称中心は、Yオーバーレイ測定に対して利用されるパターン要素のセット(例えば、402bおよび402c)に対する対称中心とともに配置される。上記のような設計は単一の「画像取り込み」においてXオーバーレイおよびYオーバーレイの同時取得を可能にすることが認識される。そのため、従来のオーバーレイターゲットと比較して移動・取得・測定の時間(move−acquire−measurement time)が顕著に短縮される。さらに、図4に示される設計は現在既存の計測ツール手順およびアーキテクチャとの互換性を提供し得ることが認識される。
他の態様において、ターゲット400の個別のパターン要素は、各パターン要素(例えば、402a〜402b、404a〜404b、その他)が個別のパターン要素の対称中心112を中心とする180度回転に対して不変となるよう、設計される。ターゲット300と対比して、ターゲット400の個別のパターン要素は、個別のパターン要素の対称中心112を中心とする90度回転に対しては不変でないことにさらに注意すべきである。そのため、単一のパターン要素(例えば、402a)はXオーバーレイおよびYオーバーレイの両方を測定するためには利用され得ない。したがって、個別のパターン要素のそれぞれは、XオーバーレイまたはYオーバーレイのいずれかを測定するために利用され得る。例えば、ターゲット400のターゲット構造は、1つがXオーバーレイのために設計され1つがYオーバーレイのために設計された、パターン要素のペアを含む。図4に示されるパターン要素の形状は、本発明の実装のために好適である180度回転対称(しかし90度回転対称ではない)を有する他のパターン要素形状が多数存在することが認識されるべきであるため、限定を表すものではない。
一般的な意味において、共通の対称中心110を中心とするターゲット構造に対する180度回転対称(しかし、90度回転対称は形成しない)を形成する一方で、個別のパターン要素(例えば、402a〜412d)に対する各パターン要素対称中心112を中心とする180度回転対称(しかし、90度回転対称は形成しない)を形成する、任意のパターン要素およびターゲット構造方式が本発明を実装することに対して好適となり得る。この理由のために、図4に示されるターゲット構造およびパターン要素方式は、単に例示としてのみ解釈されるべきであり、限定と考えられるべきではない。
図5Aは、本発明の代替的な実施形態に係る、オーバーレイターゲット500の上面図を示す。上記で説明されたオーバーレイターゲットと同様に、多層ターゲット500は、各ターゲット構造が2つ以上のパターン要素を含む3つ以上のターゲット構造を備え得る。例えば、図5Aに示されるように、オーバーレイターゲット500は、各ターゲット構造が4つのパターン要素を含む6つのターゲット構造を含み得る。例えば、図5Aに示されるように、第1構造はパターン要素502a、502b、502c、および502dを、第2構造はパターン要素504a、504b、504c、および504dを、以下同様にその他を、含み得る。再び、全般的に、ターゲット500の所与の構造(すなわち、第1、第2、第3〜第N構造)は、2つのパターン要素から第Nパターン要素まで(第Nパターンは含まれる)を含み得る。
ターゲット300および400と対比して、ターゲット500のターゲット構造のそれぞれは、それぞれのターゲット構造が共通の対称中心110を中心とする90度回転に対して不変となり、その結果、ターゲット500も90度回転に対して不変となるよう、設計される。例えば、図5Aに示されるように、ターゲット500の第6ターゲット構造のパターン要素512a、512b、512c、および512dは、第6ターゲット構造がその対称中心110を中心とする90度回転に対して不変となるよう配列される。
他の態様において、ターゲット500の個別のパターン要素は、各パターン要素(例えば、502a〜502d、504a〜504d、その他)が個別のパターン要素の対称中心112を中心とする180度回転に対して不変となるよう、設計される。再び、500のパターン要素は、個別のパターン要素の対称中心112を中心とする90度回転に対して不変ではない。したがって、ターゲット400の場合と同様に、単一のパターン要素(例えば、502a)はXオーバーレイおよびYオーバーレイの両方を測定するためには利用され得ない。そのため、個別のパターン要素のそれぞれは、XオーバーレイまたはYオーバーレイのいずれかを測定するために利用され得る。例えば、ターゲット500のターゲット構造は、1つのペア(502aおよび502c)がXオーバーレイ測定のために指定され1つのペア(502bおよび502d)がYオーバーレイ測定のために指定された、2つのペアのパターン要素を含む。ターゲット400の場合と同様に、図5に示されるパターン要素の形状は、本発明の実装に対して好適である、パターン要素の個別の対称中心を中心とする180度回転対称を有する(しかし90度回転対称は形成しない)他のパターン要素形状が多数存在することが認識されるべきであるため、限定を表すものではない。
一般的な意味において、共通の対称中心110を中心とするターゲット構造に対する90度回転対称を形成する一方で、個別のパターン要素(例えば、502a〜512d)に対する各パターン要素対称中心112を中心とする180度回転対称(しかし、90度回転対称は形成しない)を形成する、任意のパターン要素およびターゲット構造方式が本発明を実装することに対して好適となり得る。この理由のために、図5に示されるターゲット構造およびパターン要素方式は、単に例示としてのみ解釈されるべきであり、限定と考えられるべきではない。
図5Bは、本発明の代替的な実施形態に係る、オーバーレイターゲット501の上面図を示す。上記で説明されたオーバーレイターゲットと同様に、多層ターゲット501は、各ターゲット構造が2つ以上のパターン要素を含む3つ以上のターゲット構造を備え得る。例えば、図5Bに示されるように、オーバーレイターゲット501は、各ターゲット構造が4つのパターン要素を含む6つのターゲット構造を含み得る。例えば、図5Bに示されるように、第1構造はパターン要素514a、514b、514c、および514dを、第2構造はパターン要素516a、516b、516c、および516dを、第3構造はパターン要素518a、518b、518c、および518dを、以下同様にその他を、含み得る。再び、全般的に、ターゲット501の所与の構造(すなわち、第1、第2、第3〜第N構造)は、2つのパターン要素から第Nパターン要素まで(第Nパターンは含まれる)を含み得る。
図5Aと対比して、オーバーレイターゲット501は180度に対しては不変となるが90度に対しては不変とならないよう設計される。このように、ターゲット501のターゲット構造のそれぞれは、それぞれのターゲット構造が少なくとも共通の対称中心110を中心とする180度回転に対して不変となり、その結果、ターゲット501も180度回転に対して不変となるよう、設計される。例えば、図5Bに示されるように、ターゲット501の第6ターゲット構造のパターン要素524a、524b、524c、および524dは、第6ターゲット構造がその対称中心110を中心とする180度回転(しかし90度回転ではない)に対して不変となるよう配列される。出願人は、オーバーレイターゲット501を構成するターゲット構造のそれぞれが必ずしも180度回転対称に限定される必要がないことを注記する。例えば、図5Bに示されるように、パターン要素518a、518b、518c、および518dの配列が90度回転不変ターゲット構造を形成することに注意すべきである。一方、図5Bに示されるように、6つのターゲット構造の組み合わせは、残りのターゲット構造が90度回転対称を有さないため、90度回転対称は有さないが180度回転対称を有する、オーバーレイターゲット501を形成する。
一般的な意味において、共通の対称中心110を中心とするターゲット構造に対する180度回転対称を形成する一方で、個別のパターン要素(例えば、514a〜524d)に対する各パターン要素対称中心112を中心とする180度回転対称を形成する、任意のパターン要素およびターゲット構造方式が本発明を実装することに対して好適となり得る。この理由のために、図5Bに示されるターゲット構造およびパターン要素方式は、単に例示としてのみ解釈されるべきであり、限定と考えられるべきではない。
図6は、本発明の代替的な実施形態に係る、オーバーレイターゲット600の上面図を示す。本明細書において上述のオーバーレイターゲットの様々な実施形態の1つまたは複数のターゲット構造は、オーバーレイ計測測定プロセスにおける実装に対して好適である十分なコントラストを欠き得ることが認識される。所与のオーバーレイターゲット600の1つまたは複数のターゲット構造が、全ターゲット構造表面積を増加させることにより、強化され得、その結果、強化されたターゲット構造の情報内容が増加され得ることが考えられる。例えば、所与のターゲット構造に含まれるパターン要素の個数は所与のターゲット構造のコントラストレベルにより決定され得る。例えば、図6に示されるように、ターゲット600の第1構造は望ましいレベルよりもより低いコントラストレベルを有し得る。そのため、ターゲットの設計者は、ターゲット構造に対して付加的なパターン要素を含めることにより、コントラストを増強し得る。このように、ターゲット600の第1ターゲット構造は、ターゲット600の残りのターゲット構造における2つのみのパターン要素とは異なり、4つの全体的パターン要素602a、602b、602c、および602dを含む。
所与のターゲット構造のコントラストを増強するために利用される付加的なパターン要素が所与のターゲットに対する設計ルールのセット全体に準拠するよう設計されるべきことも認識される。そのため、付加的なパターン要素は、上述のターゲット300、400、500、および501と一貫する方法で、全体的なターゲット構造および個別のパターン要素に課せられる対称要件に準拠するべきである。
例えば、図6に示されるように、パターン要素602a、602b、602c、および602dは、ターゲット600全体の対称中心110を中心とする180度回転対称を保持する。その結果、ターゲット600は、本明細書において上述のターゲット300、400、および501と同様の方法で、対称中心110を中心とする180度回転対称を保持するであろう。さらに、図6にも示されるように、パターン要素602a、602b、602c、および602dは、本明細書において上述のターゲット200と一貫した方法で、個別のパターン要素の対称中心を中心とする90度回転対称を保持する。
図7は、本発明の代替的な実施形態に係る、オーバーレイターゲット700の上面図を示す。ターゲット700の各ターゲット構造が情報内容(すなわち、コントラスト)の適切なレベルを達成するに必要であるパターン要素の個数を含み得ることがここで考えられる。このように、1つまたは複数のターゲット構造の情報内容は、コントラストが欠けるターゲット構造の全ターゲット構造面積を増加させることにより、満足され得る。例えば、図7に示されるように、ターゲット700の第1構造、第2構造、第3構造、および第4構造は、異なる程度の情報欠損を有し得る。そのため、設計者は、この欠損を埋め合わせるために各ターゲット構造のパターン要素の個数を調整し得る。例えば、最も低いコントラストレベルを有する第1構造は、12個のパターン要素702a、702b、702c、702d、702e、702f、702g、702h、702i、702j、702k、および702lを含み得る。同様に、第2構造および第3構造は、同様のコントラストレベル必要性を有し得、それぞれは8つの全体的パターン要素を含む。第2構造は704a、704b、704c、704d、704e、704f、704g、および704hを含む一方で、第3構造は706a、706b、706c、および706dを含む。対比的に、ターゲット700の第4ターゲット構造はコントラスト増強をわずかしか要求せず、または余剰情報内容を有し得る。このように、第4表面構造に対して通常指定される表面積は、これらの不足するターゲット構造におけるコントラストを増強する一方でオーバーレイターゲット700に対する全表面積要件を満足するために、他のターゲット構造のうちの1つに再分配され得る。例えば、第4ターゲット構造は、4つのみのターゲットパターン要素708a、708b、708c、および708dを含み得る。
オーバーレイターゲット700のターゲット構造のコントラストを増強するために利用される付加的なパターン要素が所与のターゲットに対する設計ルールのセット全体に準拠するよう設計されるべきことも認識される。そのため、付加的なパターン要素は、上述のターゲット400、500、および501と一貫する方法で、全体的なターゲット構造および個別のパターン要素に課せられる対称要件に準拠するべきである。
例えば、図7に示されるように、第2ターゲット構造のパターン要素704a〜704hは、ターゲット700全体の対称中心110を中心とする90度回転対称を保持する一方で、第4ターゲット構造のパターン要素708a〜708dは対称中心110を中心とする180度回転対称を有する。その結果、ターゲット700は、本明細書において上述のターゲット400および501と同様の方法で、少なくとも対称中心110を中心とする180度回転対称を保持するであろう。付加的パターン要素を上述のように利用することは、オーバーレイターゲットが図5Aに示されるターゲット500と同様の90度回転対称を有するよう実装され得ることもさらに認識される。
さらに、図7にも示されるように、個別のパターン要素702a〜702l、704a〜704h、706a〜706h、および708a〜708dは、それぞれが、本明細書において上述のターゲット400、500、および501の場合と一貫した方法で、それぞれの個別のパターン要素の対称中心を中心として180度回転対称である。
図8は、本発明の代替的な実施形態に係る、ダミーフィル801が存在する場合におけるオーバーレイターゲット800の上面図を示す。Xオーバーレイ測定およびYオーバーレイ測定が異なるパターン要素を利用して実行されるオーバーレイターゲット400、500、および501は、ダミーフィル801が存在する場合におけるオーバーレイ計測測定処理を可能にすることが認識されるべきである。例えば、図8は、ダミーフィル801が存在する場合において実装されたオーバーレイターゲット800を示す。例えば、オーバーレイターゲット800は、各ターゲット構造が4つのパターン要素を含む6つのターゲット構造を含む。このように、第1構造はパターン要素802a〜802dを含み、第2構造はパターン要素804a〜804dを含み、第3構造はパターン要素806a〜806dを含み、第4構造はパターン要素808a〜808dを含み、第5構造はパターン要素810a〜810dを含み、第6構造はパターン要素812a〜812dを含む。さらに、図8の例において、各構造のパターン要素のうちの2つがXオーバーレイ測定のために指定される(例えば、802a、806a、または810a)一方で、各ターゲット構造の残りの2つのパターン要素がYオーバーレイ測定のために指定される(例えば、812d、808d、または804d)ことが指摘される。
さらなる実施形態において、ターゲット800のパターン要素(例えば、802a〜812d)は、それぞれが複数のサブ要素803を含む。例えば、図8に示されるように、各パターン要素(例えば、802a〜812d)は、3つの平行である薄い長方形状であり且つ周期的に離間するサブ要素803を含み得る。図8に示されるサブ要素803の形状および配列が限定を表すものではなく、むしろ例示であるとして解釈されるべきであることに注意すべきである。
ダミーフィル801が、図8により示されるようにオーバーレイターゲット800の上方または下方にプリントされた周期的な格子構造から構成され得ることがさらに認識される。
さらなる実施形態において、各構造の各パターン要素(例えば、802a〜812d)のサブ要素803は、ダミーフィル801構造の格子構造に対して直交方向に整列され得る。この点に関して、ダミーフィル801の線はサブ要素803構造の線に対して直行する。出願人は、パターン要素(例えば、802a〜812d)のサブ要素803をダミーフィル構造801に対して直交させることにより、所与のオーバーレイターゲットの計測信号が下側にあるダミーフィル構造801からの情報により混交される危険性が緩和されることを注記する。
本明細書において上述のターゲット400および501の場合と同様に、オーバーレイターゲット800がそのターゲットの構成要素であるターゲット構造の共通の対称中心を中心とする180度回転対称を有する一方で、ターゲット800の個別のパターン要素(例えば、802a〜812d)が各個別のパターン要素の対称中心を中心とする180度回転対称を有することがさらに認識される。
さらなる実施形態において、パターン要素(例えば、802a〜812d)のサブ要素803の、ダミーフィル構造801の、またはその両方の周期は、計測システムを実装するために好適であるよりも低い解像度から構成され得る。特に、1次から−1次の回折次数は、計測システムの撮像システムの対象のアパーチャの外部に落ち得る。ターゲット800の計測信号がダミーフィルパターン801からの信号と混交する危険性がさらに緩和されるため、この特徴はダミーフィル構造の場合に特に有利であることがここで認識される。
図9は、本発明の代替的な実施形態に係る、ダミーフィル801が存在する場合におけるオーバーレイターゲット900の上面図を示す。ターゲット900は、同等の対称要件を有する他にも、パターン要素およびダミーフィルが直交する配向を有するという点で、ターゲット800と同様である。一方、ターゲット900は、計測処理における実装に好適である正方形寸法ターゲットを示す。
図10は、本発明の代替的な実施形態に係る、ダミーフィル801が存在する場合におけるオーバーレイターゲット1000の上面図を示す。ターゲット1000は、同等の対称要件を有する他にも、パターン要素およびダミーフィルが直交する配向を有するという点で、ターゲット800と同様である。一方、ターゲット1000は、図6および図7に関して本明細書で上述のコントラスト増強の実装を示す。さらに、図10はオーバーレイターゲット1000の中心に配置された取得マーク1001を示す。取得マーク1001を利用することにより、所与の計測ツールの視野域の中心にターゲットを位置決めするために、ターゲット中心の概略位置が特定され得る。
全般に図11および図12を参照すると、コントラスト増強に好適であるシステム1100および1200が本発明にしたがって説明される。本発明のシステム1100および1200は、本明細書において上述の様々な多層ターゲットの実装を可能にし得ることがここで考えられる。本発明の多層ターゲットに関連する1つの制限は、測定構造が小さいことに関連する情報内容(すなわち、コントラストレベル)の欠損が生じ得ることを含む。システム1100および1200は、本発明の様々な多層ターゲットの1つまたは複数のターゲット構造における低コントラストの存在を抑制するために、増強されたコントラストレベルを提供することを目的とするものである。システム1100は、本発明の多層ターゲットのターゲット構造に関連する1つまたは複数の測定構造に関連するコントラストレベルを増強するために、構造化された照明の利用を目的とするものである。さらに、システム1200は、本発明の多層ターゲットのターゲット構造に関連する1つまたは複数の測定構造に関連するコントラストレベルを増強するために、交差偏光の利用を目的とするものである。
本発明のシステム1100および1200は、既存の光学計測システムの適応または再構成から構成され得る(しかし必ずしも構成されるとは限らない)ことがここで考えられる。例えば、本発明は、KLA−Tencor社Archer100オーバーレイ制御システムを適用することから構成され得る。例えば、システム1200の場合、第1直線偏光器が従来のシステム(例えば、Archer100システム)の照明経路に挿入される一方で、第2直線偏光器が従来のシステムの撮像経路内に配置され得る。システム1100の場合、アパーチャが従来のシステム(例えば、Archer100システム)の照明経路の瞳面に挿入され得る。本発明がArcher100システムの適用に限定されず、むしろ上記の説明は単に例示としてのみ解釈されるべきことが認識されるべきである。本発明は広範な種類の顕微鏡検査およびオーバーレイ計測システムに適用され得ることが期待される。
図11を参照すると、多層オーバーレイ計測ターゲットのコントラスト増強に好適であるシステム1100は、照明源1102と、アパーチャ1104と、ビームスプリッタ1108と、1つまたは複数の試料1114(例えば、ウエハロットの1つまたは複数のウエハ)から反射された光を受容するよう構成された検出器1110とを備え得る。
システム1100の照明源1102は当該技術分野において既知である任意の照明源を含み得る。1つの実施形態において、照明源1102は広帯域光源(例えば、白色光源)を含み得る。例えば、照明源1102はハロゲン光源(HLS:halogen light source)を含み得るが、これに限定されない。例えば、ハロゲン光源はタングステンベースのハロゲンランプを含み得るが、これに限定されない。他の例において、照明源1102はキセノンアークランプを含み得る。
本発明の他の態様においては、システム1100のビームスプリッタ1108は、照明源1102から発する光ビームを、アパーチャを通過した後に、対物経路1112および基準経路1113の2つの経路に分割し得る。この意味において、システム100の対物経路1112および基準経路113は、2ビーム干渉光学システムの1部分を形成し得る。例えば、ビームスプリッタ1108は、照明経路1115からの光ビームの第1部分を対物経路1112に沿って誘導する一方で照明経路115からの光ビームの第2部分が基準経路1113に沿って伝達されることを可能にし得る。さらに詳細には、ビームスプリッタ108は、照明源1102から発する光の1部分を、アパーチャ1104を通過した後に、試料ステージ1118上に配置された試料1114の表面に(例えば、対物経路1112を介して)誘導し得る。さらに、ビームスプリッタ1108は、照明源1102から発する光の第2部分を基準経路1113の構成部品に伝達し得る。例えば、ビームスプリッタ1108は照明経路1115からの光の1部分を基準経路1113に沿って基準ミラー(図示せず)に伝達し得る。当業者は、当該技術分野において既知である任意のビームスプリッタが、本発明の1ビームスプリッタ1108として実装されるために好適であることを認識すべきである。
基準経路1113が、基準ミラー、基準対物レンズ、および基準経路1113を選択的に遮蔽するよう構成されたシャッターを含み得るがこれらに限定されないことは、当業者には明白であるべきである。一般的な意味において2ビーム干渉光学システムがリニク干渉計として構成され得る。ニリク干渉分光法は1989年4月4日に発行された米国特許第4,818,110号および2001年1月9日に発行された米国特許第6,172,349号において概説されている。なお、これらの特許は参照することにより本明細書に援用される。
他の実施形態において、システム1100は主要対物レンズ1109を備え得る。主要対物レンズ1109は試料ステージ1118上に配置された試料1114の表面へと光を対物経路1112に沿って誘導することを支援し得る。例えば、ビームスプリッタ1108は、照明源1102から発する光ビーム1115の1部分を、アパーチャ1104を通過した後に、対物経路1112に沿って誘導し得る。ビームスプリッタ1108による分割処理の後、主要対物レンズ1109は1次光軸1107と同一線上にある対物経路1112からの光を試料1114の表面上に合焦させ得る。一般的な意味において、当該技術分野において既知である任意の対物レンズが本発明の主要対物レンズ1109として実装されることに対して好適である。
さらに、試料1114の表面上に当たる光の1部分は、試料1114により反射され、対物レンズ1109およびビームスプリッタ1108を介して1次光軸1107に沿って検出器1110に向かって誘導され得る。中間レンズ、付加的なビームスプリッタ(例えば、光の1部分を合焦システムに分岐させるよう構成されたビームスプリッタ)、および撮像レンズ1106等の中間光学装置が対物レンズ1109と検出器1110の撮像面との間に配置され得ることがさらに認識されるべきである。
本発明の他の態様において、システム100の検出器1110は、システム1100の1次光軸1107に沿って配置され得る。この点に関して、カメラ1110が試料1114の表面からの画像データを収集するよう構成され得る。例えば、一般的な意味において、試料1114の表面から反射された後、光は、主要対物レンズ1109およびビームスプリッタ1108を介して1次光軸1107に沿って検出器1110の撮像面へと伝わり得る。当該技術分野において既知である任意の検出器システムが本発明における実装に対して好適であることを認識すべきである。例えば、検出器1110は電荷結合素子(CCD:charge coupled device)に基づくカメラシステムを含み得る。他の例として、検出器1110は時間遅延積分(TDI:time delay integration)−CCDに基づくカメラシステムを含み得る。さらなる態様において、検出器1110はコンピュータシステム(図示せず)と通信可能に連結され得る。この点に関して、デジタル化された画像データは、有線信号(例えば、銅線、光ファイバケーブル、等)または無線信号(例えば、無線RF信号)等の信号を介して、検出器1110からコンピュータシステムに伝達され得る。
上記の説明は、システム1100の1次光軸1107に沿って配置されるものとして検出器110を説明するが、この特徴は要件として解釈されるべきではない。検出器1110はシステム1100の付加的な光軸に沿って存在し得ることがここで考えられる。例えば、一般的な意味において、1つまたは複数の付加的なビームスプリッタが、試料1114の表面から反射された光の1部分の進路を変更させ、対物経路1112に沿って付加的な光軸へと伝えるために利用され得る。なお、この付加的な光軸は対物経路1112に対して平行ではない。カメラ1110は、その付加的な光軸に沿って伝わる光がカメラ1110の撮像面に当たるよう、配列され得る。
本発明の1つの態様において、アパーチャ1104は照明経路1115の瞳面に配置され得る。この点に関して、アパーチャ1104は、照明源1102から発する照明の所定の照射角を選択するために良好に画成された形状を有するよう構成され得る。照射角は検出器1110の撮像面において選択されたコントラストレベルを達成するよう選択される。
1つの実施形態において、アパーチャは1つの幾何学的形状を、または複数の幾何学的形状の組み合わせを、有し得る。例えば、アパーチャは「X」形状または「十字」形状を有し得る。他の例において、アパーチャはリング形状を有し得る。これらの形状が回折光学素子を介して達成され得ることがここでさらに認識される。
他の実施形態において、照明経路は複数のアパーチャを含み得る。この点に関して、複数のアパーチャのうちの1つは、特定のスタックおよびターゲット設計に対するコントラストレベルを最適化するために、レシピトレーニングの間に選択され得る。このことが試行錯誤方法を利用して実行され得ることがここで認識される。他の実施形態において、アパーチャ1104は可変アパーチャを含み得る。例えば、アパーチャ1104は、複数の選択可能な照明構造を形成するためにユーザによりプログラムされ得る可変アパーチャから構成され得る。この点に関して、プログラムされた可変アパーチャは、特定のスタックまたはターゲット設計に対してコントラストを最適化するための方法で調節され得る。例えば、可変アパーチャはマイクロミラーアレイを含み得るが、これに限定されない。
図12を参照すると、多層オーバーレイ計測ターゲットのコントラスト増強に好適であるシステム1200は、照明源1202と、第1偏光器1204と、ビームスプリッタ1206と、第2偏光器1208と、1つまたは複数の試料1212(例えば、ウエハロットの1つまたは複数のウエハ)から反射された光を受容するよう構成された検出器1210とを備え得る。
照明源1202、ビームスプリッタ1206、1210の検出器、試料ステージ1214、および基準経路1216は、システム1100の照明源1102、ビームスプリッタ1108、1110の検出器、試料ステージ1118、および1113の基準経路と同様であることがここで認識される。そのため、システム1100の説明は、別記する場合を除き、システム1200にも適用されると解釈されるべきである。
1つの態様において、第1偏光器1204は照明源1202から発する光を偏光させるよう配列される。例えば、第1の1204は、照明源1202から発する光が第1偏光器1204により偏光され得るよう、照明経路1205に沿って配置され得る。
他の態様において、第2偏光器1208は、試料1202から反射された光に対する分析器として機能するよう配列され得る。この点に関して、第1偏光器1204および第2偏光器1208は、検出器1210の撮像面に到達する、試料1212の未パターン化された部分から、または試料1212の周期的な未識別パターンから、反射された光の量が最小化されるよう、構成され得る。1つの実施形態において、第1偏光器1204および第2偏光器1208の両方は直線偏光器を含み得る。直線偏光器の場合、第1偏光器1204および第2偏光器1208は、それらの偏光軸が相互に対して実質的に直交するよう、配列され得る。この構成の結果、検出器1210の撮像面に到達する反射光の大部分は計測ツールにより識別された試料のパターンから反射された光から構成されることとなり、それにより、コントラストが顕著に増強される。さらに他においては、第1偏光器1204は径方向偏光された光のみを伝達するよう構成された偏光器を含み得る一方で、第2偏光器は方位角偏光した光のみを伝達するよう構成される。
試料1212の未パターン化された部分からの信号は他の様々な方法で最小化され得ることがさらに認識されるべきである。例えば、波長板および偏光器の組み合わせが上述の結果を達成するために実装され得ることがここで認識される。例えば、第1偏光器1204と第1偏光器に対して45度に配向された第1の1/4波長板(図示せず)が照明経路1205において配置され得る一方で、第2偏光器1208と第2偏光器に対して45度に配向された第2の1/4波長板(図示せず)が撮像経路1209に沿って配置され得る。当業者は、この配列を利用することにより検出器1210の撮像面に到達する試料1212の未パターン化された部分から反射された光の量が最小化されることを認識するであろう。
上述の交差偏光効果を作る偏光器および波長板(例えば、1/2波長板)の任意の組合せが本発明における実装に対して好適となり得ることがさらに認識される。
システム1100および1200がコントラストレベルを増強するために組み合わせて利用され得ることがここでさらに考えられる。この点に関して、本発明は、ターゲットの対称点において強度がより低いレベルとなることを確保するために利用され得る。構造化された照明および交差偏光の組み合わせに関する本発明の態様が、図13に示された照明瞳を利用することにより実装され得ることがここで認識される。例えば、好適な照明瞳は、十字形状1302、垂直線形状1304(例えば、Y方向)、または水平線形状1306(例えば、X方向)を有し得る。さらに、照明瞳1302、1304、および1306は、照明偏光器および撮像偏光器と組み合わせて実装され得る。第1の実施形態において、照明瞳1302〜1306は、システムの照明経路(例えば、1115または1205)内に配置されたX偏光器およびシステムの撮像経路(例えば、1107または1207)内に配置されたY偏光器と協調して実装され得る。第2の実施形態において、照明瞳1302〜1306は、システムの照明経路内に配置されたY偏光器およびシステムの撮像経路内に配置されたX偏光器と協調して実装され得る。
本明細書で説明されるシステムおよび方法の全部は、本方法の実施形態の1つまたは複数のステップの結果を記憶媒体に記憶することを含み得る。これらの結果は、本明細書で説明される結果のうちのいずれかを含み得、当該技術分野において任意の既知の方法で記憶され得る。記憶媒体は、本明細書で説明される任意の記憶媒体、または当該技術分野において既知である任意の他の好適な記憶媒体を含み得る。結果が記憶された後、結果は、記憶媒体においてアクセスされること、本明細書において説明される方法またはシステムの実施例のいずれかにより用いられること、ユーザに表示するためにフォーマットされること、任意のソフトウェアモジュール、方法、またはシステム、その他により用いられること、が可能である。さらに、結果は、「永久的」、「半永久的」、一時的、または何らかの時間的期間にわたり記憶され得る。例えば、記憶媒体はランダムアクセスメモリ(RAM:random access memory)であり得、結果は、記憶媒体内で必ずしも無期限に持続するとは限らない。
当業者は、本明細書において説明されたプロセスおよび/またはシステムおよび/または他の技術が実施され得る様々な手段(例えば、ハードウェア、ソフトウェア、および/またはファームウェア)が存在すること、および好適な手段はプロセスおよび/またはシステムおよび/または他の技術が用いられる状況とともに変化するであろうことを理解するであろう。例えば、開発者が速度および正確度が重要であると判断した場合、開発者は主にハードウェアおよび/またはファームウェア手段を選択すればよく、代替的に、柔軟性が重要である場合、開発者は主にソフトウェア実装を選択すればよい。あるいは、再び代替的に、開発者はハードウェア、ソフトウェア、および/またはファームウェアの何らかの組み合わせを選択すればよい。したがって、本明細書において説明されたプロセスおよび/または装置および/または他の技術が実施され得るいくつかの可能な手段が存在し、そのうちのいずれかが、利用される任意の手段は、その手段が用いられるであろう状況および開発者の特定の問題(例えば、速度、柔軟性、または予測可能性。なお、これらの問題のいずれもが変化し得る)に依存する選択であるという意味で、他の手段に対して本質的に優位であるということはない。当業者は、これらの実装の光学的な態様が、通常、適当な形のハードウェア、ソフトウェア、および/またはファームウェアを用い得ることを認識するであろう。
当業者は、装置および/または処理を本明細書において説明された方法で説明すること、および、その後、技術的手法を用いて係る説明された装置および/またはプロセスをデータ処理システムに統合することが、当該技術分野において一般的であることを認識するであろう。すなわち、装置および/またはプロセスの少なくとも1部分は、適切な量の実験を経た後、データ処理システムに統合することが可能である。典型的なデータ処理システムが、1つまたは複数のシステムユニット筐体、ビデオディスプレイ装置、揮発性メモリならびに不揮発性メモリ等のメモリ、マイクロプロセッサならびにデジタル・シグナル・プロセッサ等のプロセッサ、オペレーティングシステム、ドライバ、グラフィカル・ユーザ・インターフェース、ならびにアプリケーションプログラム等の計算実体、タッチパッドまたはスクリーン等の1つまたは複数の相互作用装置、および/またはフィードバックループならびに制御モータ(例えば、位置および/または速度を検出するためのフィードバック、および構成品の移動および/または調節および/または量を調節するための制御モータ)を含む制御システムを、一般に含むことを認識するであろう。典型的なデータ処理システムは、データ演算/通信および/またはネットワーク演算/通信システムにおいて一般的に見られる構成品等の、任意の好適な市販の構成品を利用して実装され得る。
本明細書において説明された主題は、異なる他の構成品に含まれた、または異なる他の構成品と接続された、異なる構成品を示す場合もある。このように示された構造が単に例示的であり、実際には、同一の機能を達成する他の多数の構造が実装され得ることが、理解されるであろう。概念的な意味では、同一の機能を達成するための構成品の任意の配列は、所望の機能が達成されるよう、効果的に「関連付け」られる。したがって、特定の機能を達成するために組み合わされた2つの構成品は、構造または中間構成品に関わらず、所望の機能が達成されるよう、相互に「関連付け」られたものとみなされ得る。同様に、そのように関連付けられた任意の2つの構成品は、所望の機能を達成するために相互に対して「接続」または「連結」されたものとみなされ得、このように関連付けられ得る任意の2つの構成品は所望の機能を達成するために相互に対して「連結可能」であるともみなされ得る。連結可能の具体例は、物理的に結合可能であるおよび/または物理的に相互作用可能である構成品、および/または無線により相互作用可能であるおよび/または無線により相互作用する構成品、および/または論理的に相互作用するおよび/または論理的に相互作用可能な構成品を含むが、これに限定されない。
本明細書において説明された主題に係る特定の態様が図示および説明されてきたが、当業者は、本明細書の教示に基づいて、本明細書において説明された主題およびその広範な態様から逸脱することなく様々な変更例および修正例が可能であること、したがって、添付の請求項は、本明細書において説明された主題の真の精神および範囲に含まれる係る変更例および修正例をその範囲において含むものであることを、当業者は理解するであろう。
本発明の特定の実施形態が例示されてきたが、本発明の様々な修正例および実施形態が前述の開示の範囲および精神から逸脱することなく当業者によって可能であることは明白である。したがって、本発明の範囲は本明細書に添付される請求項によってのみ限定されるべきである。
本開示および本開示に付随する特長は前述の説明により理解されると考えられ、様々な変更例が、開示された主題から逸脱することなく、またはその物質的特長の必ずしも全部を犠牲にすることなく、これらの構成品の形態、構成、および配列において可能であることは明白であろう。説明された形態は単に説明的であり、係る変更例を含むことは以下の請求項の意図するところであろう。
さらに、本発明が添付の請求項により定められることを理解すべきである。

Claims (21)

  1. 多層オーバーレイターゲットからのオーバーレイを測定するシステムであって、
    半導体デバイスの1つ以上のプロセスレイヤー上に配置された複数のターゲット構造に光を照射するよう構成された照射源であり、前記複数のターゲット構造は、3つ以上のターゲット構造を含み、前記3つ以上のターゲット構造は、第1ターゲット構造と、第2ターゲット構造と、少なくとも1つの第3ターゲット構造と、を含み、前記ターゲット構造の少なくともいくつかは2つ以上のパターン要素のセットを含み、前記3つ以上のターゲット構造は、前記3つ以上のターゲット構造が位置合わせされたとき、共通の対称中心を共有するよう構成され、前記ターゲット構造のうちの少なくとも1つは前記共通の対称中心を中心とする90度回転に対して不変であり、前記第1ターゲット構造は第1プロセスレイヤーに配置され、前記第2ターゲット構造は前記第1プロセスレイヤーとは異なる第2プロセスレイヤーに配置され、前記少なくとも1つの第3ターゲット構造は前記第1プロセスレイヤーおよび前記第2プロセスレイヤーとは異なる少なくとも1つの第3プロセスレイヤーに配置され、2つ以上の前記パターン要素は3つ以上のサブ要素を備え、前記3つ以上のサブ要素は周期的なパターンで配列される、照射源と、
    前記複数のターゲット構造からの反射光を集光するよう構成された検出器と、
    非一時的な記憶媒体に保持される一連のプログラム命令を実行するよう構成された1つ以上のプロセッサであって、前記一連のプログラム命令が、前記1つ以上のプロセッサに、前記複数のターゲット構造から集光された光に基づいて、オーバーレイエラーを決定させるよう構成された、1つ以上のプロセッサと、
    を含むシステム。
  2. 前記第1ターゲット構造は前記共通の対称中心を中心とする90度回転に対して不変であり、前記第2ターゲット構造および前記少なくとも1つの第3ターゲット構造は前記共通の対称中心を中心とする180度回転に対して不変であり、かつ前記共通の対称中心を中心とする90度回転に対して不変でない、請求項1に記載のシステム。
  3. 前記第1ターゲット構造は第1方向および前記第1方向と垂直な第2方向にオーバーレイを測定するよう構成され、前記第2ターゲット構造は前記第1方向にオーバーレイを測定するよう構成され、前記第3ターゲット構造は前記第2方向にオーバーレイを測定するよう構成された、請求項1に記載のシステム。
  4. 各ターゲット構造の前記2つ以上のパターン要素の少なくともいくつかは、個別の対称中心を中心とする180度回転に対して不変であり、かつ前記個別の対称中心を中心とする90度回転に対して不変でない、請求項1に記載のシステム。
  5. 前記第1ターゲット構造が個別の対称中心を中心とする90度回転に対して不変であり、前記第2ターゲット構造および前記第3ターゲット構造の少なくとも1つは前記個別の対称中心を中心とする180度回転に対して不変であり、かつ前記個別の対称中心を中心とする90度回転に対して不変でなく、各ターゲット構造の前記2つ以上のパターン要素のそれぞれが前記個別の対称中心を中心とする180度回転に対して不変であり、かつ前記個別の対称中心を中心とする90度回転に対して不変でない、請求項1に記載のシステム。
  6. 前記3つ以上のサブ要素が、前記パターン要素中の前記少なくとも1つのパターン要素の間隔に対して平行に配列される、請求項1に記載のシステム。
  7. 前記3つ以上のサブ要素が、前記パターン要素中の前記少なくとも1つのパターン要素の間隔に対して垂直に配列される、請求項1に記載のシステム。
  8. 前記3つ以上のサブ要素が、前記パターン要素中の前記少なくとも1つのパターン要素の第1間隔に対して平行に配列され、前記パターン要素中の前記少なくとも1つのパターン要素の第2間隔に対して垂直に配列される、請求項1に記載のシステム。
  9. 前記3つ以上のサブ要素に関連した間隔が、前記2つ以上のパターン要素間の間隔よりも小さい、請求項1に記載のシステム。
  10. 前記2つ以上のパターン要素の少なくともいくつかが個別の対称中心を有する、請求項1に記載のシステム。
  11. 多層オーバーレイターゲットからのオーバーレイを測定するシステムであって、
    半導体デバイスの1つ以上のプロセスレイヤー上に配置された複数のターゲット構造に光を照射するよう構成された照射源であり、前記複数のターゲット構造は、3つ以上のターゲット構造を含み、前記3つ以上のターゲット構造は、第1ターゲット構造と、第2ターゲット構造と、少なくとも1つの第3ターゲット構造と、を含み、前記ターゲット構造の少なくともいくつかは2つ以上のパターン要素のセットを含み、前記3つ以上のターゲット構造は、前記3つ以上のターゲット構造が位置合わせされたとき、共通の対称中心を共有するよう構成され、前記第1ターゲット構造は前記共通の対称中心を中心とする90度回転に対して不変であり、前記第2ターゲット構造は前記共通の対称中心を中心とする90度回転に対して不変であり、前記少なくとも1つの第3ターゲット構造は前記共通の対称中心を中心とする90度回転に対して不変であり、前記第1ターゲット構造は第1プロセスレイヤーに配置され、前記第2ターゲット構造は前記第1プロセスレイヤーとは異なる第2プロセスレイヤーに配置され、前記少なくとも1つの第3ターゲット構造は前記第1プロセスレイヤーおよび前記第2プロセスレイヤーとは異なる少なくとも1つの第3プロセスレイヤーに配置され、前記2つ以上のパターン要素の少なくともいくつかが個別の対称中心を有する、照射源と、
    前記複数のターゲット構造からの反射光を集光するよう構成された検出器と、
    非一時的な記憶媒体に保持される一連のプログラム命令を実行するよう構成された1つ以上のプロセッサであって、前記一連のプログラム命令が、前記1つ以上のプロセッサに、前記複数のターゲット構造から集光された光に基づいて、オーバーレイエラーを決定させるよう構成された、1つ以上のプロセッサと、
    を含むシステム。
  12. 前記3つ以上のターゲット構造が、少なくとも1つの第4ターゲット構造を含む、請求項11に記載のシステム。
  13. 前記少なくとも1つの第4ターゲット構造が、前記共通の対称中心を中心とする90度回転に対して不変である、請求項12に記載のシステム。
  14. 前記第1ターゲット構造、前記第2ターゲット構造、前記第3ターゲット構造、および前記第4ターゲット構造が、第1方向および前記第1方向と垂直な第2方向にオーバーレイを測定するように構成される、請求項13に記載のシステム。
  15. 前記第1ターゲット構造の少なくとも一部が前記第2ターゲット構造および前記少なくとも1つの第3ターゲット構造の少なくとも一部と重なる、請求項11に記載のシステム。
  16. 前記第1ターゲット構造の1つ以上のパターン要素が前記第2ターゲット構造および前記少なくとも1つの第3ターゲット構造の少なくとも1つのパターン要素と重なる、請求項15に記載のシステム。
  17. 多層オーバーレイターゲットからのオーバーレイを測定するシステムであって、
    半導体デバイスの1つ以上のプロセスレイヤー上に配置された複数のターゲット構造に光を照射するよう構成された照射源であり、前記複数のターゲット構造は、3つ以上のターゲット構造を含み、前記3つ以上のターゲット構造は、第1ターゲット構造と、第2ターゲット構造と、少なくとも1つの第3ターゲット構造と、を含み、前記ターゲット構造の少なくともいくつかは2つ以上のパターン要素のセットを含み、前記2つ以上のパターン要素の少なくともいくつかは、鏡映に対して不変であり、前記3つ以上のターゲット構造は、前記3つ以上のターゲット構造が位置合わせされたとき、共通の対称中心を共有するよう構成され、前記第1ターゲット構造は第1プロセスレイヤーに配置され、前記第2ターゲット構造は前記第1プロセスレイヤーとは異なる第2プロセスレイヤーに配置され、前記少なくとも1つの第3ターゲット構造は前記第1プロセスレイヤーおよび前記第2プロセスレイヤーとは異なる少なくとも1つの第3プロセスレイヤーに配置される、照射源と、
    前記複数のターゲット構造からの反射光を集光するよう構成された検出器と、
    非一時的な記憶媒体に保持される一連のプログラム命令を実行するよう構成された1つ以上のプロセッサであって、前記一連のプログラム命令が、前記1つ以上のプロセッサに、前記複数のターゲット構造から集光された光に基づいて、オーバーレイエラーを決定させるよう構成された、1つ以上のプロセッサと、
    を含むシステム。
  18. 多層オーバーレイターゲットからのオーバーレイを測定するシステムであって、
    半導体デバイスの1つ以上のプロセスレイヤー上に配置された複数のターゲット構造に光を照射するよう構成された照射源であり、前記複数のターゲット構造は、3つ以上のターゲット構造を含み、前記3つ以上のターゲット構造は、第1ターゲット構造と、第2ターゲット構造と、少なくとも1つの第3ターゲット構造と、を含み、前記ターゲット構造の少なくともいくつかは2つ以上のパターン要素のセットを含み、前記ターゲット構造の少なくともいくつかは鏡映に対して不変であり、前記3つ以上のターゲット構造は、前記3つ以上のターゲット構造が位置合わせされたとき、共通の対称中心を共有するよう構成され、前記第1ターゲット構造は第1プロセスレイヤーに配置され、前記第2ターゲット構造は前記第1プロセスレイヤーとは異なる第2プロセスレイヤーに配置され、前記少なくとも1つの第3ターゲット構造は前記第1プロセスレイヤーおよび前記第2プロセスレイヤーとは異なる少なくとも1つの第3プロセスレイヤーに配置される、照射源と、
    前記複数のターゲット構造からの反射光を集光するよう構成された検出器と、
    非一時的な記憶媒体に保持される一連のプログラム命令を実行するよう構成された1つ以上のプロセッサであって、前記一連のプログラム命令が、前記1つ以上のプロセッサに、前記複数のターゲット構造から集光された光に基づいて、オーバーレイエラーを決定させるよう構成された、1つ以上のプロセッサと、
    を含むシステム。
  19. 多層オーバーレイターゲットからのオーバーレイを測定するシステムであって、
    半導体デバイスの1つ以上のプロセスレイヤー上に配置された複数のターゲット構造に光を照射するよう構成された照射源であり、前記複数のターゲット構造は、4つ以上のターゲット構造を含み、前記4つ以上のターゲット構造は、第1ターゲット構造と、第2ターゲット構造と、第3ターゲット構造と、少なくとも1つの第4ターゲット構造と、を含み、前記ターゲット構造の少なくともいくつかは2つ以上のパターン要素のセットを含み、前記4つ以上のターゲット構造は、前記4つ以上のターゲット構造が位置合わせされたとき、共通の対称中心を共有するよう構成され、前記第1ターゲット構造、前記第2ターゲット構造、前記第3ターゲット構造、および前記第4ターゲット構造は前記共通の対称中心を中心とする180度回転に対して不変であり、かつ前記共通の対称中心を中心とする90度回転に対して不変でなく、前記第1ターゲット構造は第1プロセスレイヤーに配置され、前記第2ターゲット構造は前記第1プロセスレイヤーとは異なる第2プロセスレイヤーに配置され、前記第3ターゲット構造は前記第1プロセスレイヤーおよび前記第2プロセスレイヤーとは異なる第3プロセスレイヤーに配置され、前記少なくとも1つの第4ターゲット構造は前記第1プロセスレイヤー、前記第2プロセスレイヤー、および前記第3プロセスレイヤーとは異なる第4プロセスレイヤーに配置される、照射源と、
    前記複数のターゲット構造からの反射光を集光するよう構成された検出器と、
    非一時的な記憶媒体に保持される一連のプログラム命令を実行するよう構成された1つ以上のプロセッサであって、前記一連のプログラム命令が、前記1つ以上のプロセッサに、前記複数のターゲット構造から集光された光に基づいて、オーバーレイエラーを決定させるよう構成された、1つ以上のプロセッサと、
    を含むシステム。
  20. 前記第1ターゲット構造および前記第2ターゲット構造の少なくとも1つが、第1方向にオーバーレイを測定するよう構成され、前記第3ターゲット構造および前記第4ターゲット構造の少なくとも1つが、前記第1方向と垂直な第2方向にオーバーレイを測定するよう構成される、請求項19に記載のシステム。
  21. 各ターゲット構造の前記2つ以上のパターン要素の少なくともいくつかが個別の対称中心を中心とする180度回転に対して不変であり、かつ前記個別の対称中心を中心とする90度回転に対して不変でない、請求項19に記載のシステム。
JP2016034069A 2010-08-03 2016-02-25 オーバーレイ計測測定システム Active JP6165283B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US37034110P 2010-08-03 2010-08-03
US61/370,341 2010-08-03
US13/186,144 2011-07-19
US13/186,144 US9927718B2 (en) 2010-08-03 2011-07-19 Multi-layer overlay metrology target and complimentary overlay metrology measurement systems

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013523211A Division JP5894158B2 (ja) 2010-08-03 2011-07-28 多層オーバーレイ計測ターゲットおよび相補的オーバーレイ計測測定システム

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017120083A Division JP6498236B2 (ja) 2010-08-03 2017-06-20 オーバーレイ計測測定システム

Publications (2)

Publication Number Publication Date
JP2016105111A JP2016105111A (ja) 2016-06-09
JP6165283B2 true JP6165283B2 (ja) 2017-07-19

Family

ID=45555937

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2013523211A Active JP5894158B2 (ja) 2010-08-03 2011-07-28 多層オーバーレイ計測ターゲットおよび相補的オーバーレイ計測測定システム
JP2016034069A Active JP6165283B2 (ja) 2010-08-03 2016-02-25 オーバーレイ計測測定システム
JP2017120083A Active JP6498236B2 (ja) 2010-08-03 2017-06-20 オーバーレイ計測測定システム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2013523211A Active JP5894158B2 (ja) 2010-08-03 2011-07-28 多層オーバーレイ計測ターゲットおよび相補的オーバーレイ計測測定システム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017120083A Active JP6498236B2 (ja) 2010-08-03 2017-06-20 オーバーレイ計測測定システム

Country Status (7)

Country Link
US (2) US9927718B2 (ja)
EP (2) EP2601675B1 (ja)
JP (3) JP5894158B2 (ja)
KR (6) KR101380532B1 (ja)
CN (5) CN105589301B (ja)
TW (5) TWI666529B (ja)
WO (1) WO2012018673A2 (ja)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
US9709903B2 (en) * 2011-11-01 2017-07-18 Kla-Tencor Corporation Overlay target geometry for measuring multiple pitches
SG2014008841A (en) * 2012-05-22 2015-01-29 Kla Tencor Corp Overlay targets with orthogonal underlayer dummyfill
US9093458B2 (en) 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9454072B2 (en) * 2012-11-09 2016-09-27 Kla-Tencor Corporation Method and system for providing a target design displaying high sensitivity to scanner focus change
US10698321B2 (en) 2012-11-21 2020-06-30 Kla-Tencor Corporation Process compatible segmented targets and design methods
TWI625816B (zh) 2013-04-10 2018-06-01 克萊譚克公司 在目標設計及生產中之直接自行組裝
KR102066320B1 (ko) * 2013-05-29 2020-01-14 케이엘에이 코포레이션 다층 타겟 설계
US9885961B1 (en) 2013-05-29 2018-02-06 Kla-Tencor Corporation Partly disappearing targets
CN105408721B (zh) * 2013-06-27 2020-01-10 科磊股份有限公司 计量学目标的极化测量及对应的目标设计
US9059102B2 (en) 2013-08-15 2015-06-16 International Business Machines Corporation Metrology marks for unidirectional grating superposition patterning processes
US9257351B2 (en) 2013-08-15 2016-02-09 Globalfoundries Inc. Metrology marks for bidirectional grating superposition patterning processes
US9121890B2 (en) 2013-10-30 2015-09-01 Globalfoundries Inc. Planar metrology pad adjacent a set of fins of a fin field effect transistor device
KR102156408B1 (ko) 2013-11-19 2020-09-16 삼성전자주식회사 레이어드 디스플레이 기법을 위한 디스플레이 장치 및 영상 생성 방법
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
KR102119290B1 (ko) * 2014-02-12 2020-06-05 케이엘에이 코포레이션 부정확도를 감소시키고 콘트라스트를 유지하는 충전 요소를 갖는 계측 타겟
US9851300B1 (en) * 2014-04-04 2017-12-26 Kla-Tencor Corporation Decreasing inaccuracy due to non-periodic effects on scatterometric signals
CN106462076B (zh) 2014-06-02 2018-06-22 Asml荷兰有限公司 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
WO2015193904A1 (en) * 2014-06-19 2015-12-23 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
KR20160007192A (ko) 2014-07-11 2016-01-20 삼성전자주식회사 오버레이 측정 방법, 오버레이 측정 시스템 및 이를 이용한 반도체 장치의 제조 방법
CN106575630B (zh) 2014-07-13 2021-05-25 科磊股份有限公司 使用叠加及成品率关键图案的度量
US10228320B1 (en) 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets
WO2016030255A2 (en) 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate
KR102607646B1 (ko) * 2015-05-19 2023-11-29 케이엘에이 코포레이션 오버레이 측정을 위한 지형 위상 제어
US10062543B2 (en) * 2015-06-23 2018-08-28 Kla-Tencor Corp. Determining multi-patterning step overlay error
CN106328629A (zh) * 2015-06-30 2017-01-11 华邦电子股份有限公司 对准图形及其配置方法
CN109313011A (zh) * 2016-05-30 2019-02-05 索尼公司 信息处理装置、信息处理方法、程序和成像系统
CN109690234B (zh) 2016-09-15 2021-09-14 科磊股份有限公司 用于优化以成像为基础的覆盖度量的聚焦的系统及方法
JP6319395B2 (ja) * 2016-10-14 2018-05-09 オムロン株式会社 3次元測定装置および3次元測定方法
EP3339959A1 (en) 2016-12-23 2018-06-27 ASML Netherlands B.V. Method of determining a position of a feature
FR3062516B1 (fr) * 2017-01-30 2019-04-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de mesure du desalignement entre une premiere et une seconde zones de gravure
KR102370347B1 (ko) * 2017-02-02 2022-03-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치 및 연계된 컴퓨터 제품
KR102432667B1 (ko) * 2017-05-15 2022-08-17 삼성전자주식회사 오버레이 보정방법 및 제어 시스템
EP3404488A1 (en) * 2017-05-19 2018-11-21 ASML Netherlands B.V. Method of measuring a target, metrology apparatus, lithographic cell, and target
US10627720B2 (en) 2017-08-18 2020-04-21 Globalfoundries Inc. Overlay mark structures
JP6848772B2 (ja) 2017-08-31 2021-03-24 株式会社デンソー 熱交換器
US10204867B1 (en) * 2017-08-31 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor metrology target and manufacturing method thereof
IL272780B2 (en) 2017-09-22 2023-11-01 Asml Netherlands Bv A method for determining a parameter for building processes
US10473460B2 (en) * 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US10707175B2 (en) 2018-05-22 2020-07-07 Globalfoundries Inc. Asymmetric overlay mark for overlay measurement
US11302544B2 (en) 2019-03-28 2022-04-12 Kla-Tencor Corporation Method for measuring and correcting misregistration between layers in a semiconductor device, and misregistration targets useful therein
CN112838017A (zh) * 2019-11-22 2021-05-25 长鑫存储技术有限公司 光刻图形检测方法及系统
CN111290219B (zh) * 2020-01-20 2021-03-26 长江存储科技有限责任公司 测量晶圆套刻精度的方法和设备、计算机可读存储介质
EP4111495A4 (en) 2020-04-15 2024-04-10 Kla Corp MISREGISTRATION TARGET WITH DEVICE-SCALED FEATURES FOR MEASURING MISREGISTRATION OF SEMICONDUCTOR DEVICES
US11676909B2 (en) * 2020-05-05 2023-06-13 Kla Corporation Metrology targets for high topography semiconductor stacks
WO2021224009A1 (en) * 2020-05-07 2021-11-11 Asml Netherlands B.V. A substrate comprising a target arrangement, and associated at least one patterning device, lithographic method and metrology method
US11686576B2 (en) * 2020-06-04 2023-06-27 Kla Corporation Metrology target for one-dimensional measurement of periodic misregistration
CN112034677B (zh) * 2020-09-17 2024-02-06 合肥晶合集成电路股份有限公司 一种套刻标记、套刻标记方法及套刻测量方法
US11862524B2 (en) 2021-06-28 2024-01-02 Kla Corporation Overlay mark design for electron beam overlay
WO2023136845A1 (en) * 2022-01-13 2023-07-20 Kla Corporation Calibrated measurement of overlay error using small targets
KR102580204B1 (ko) * 2023-03-02 2023-09-19 (주)오로스 테크놀로지 1차원 오버레이 오차 측정을 위한 오버레이 마크, 이를 이용한 광학 수차 평가 방법, 이를 이용한 오버레이 마크 품질 평가 방법, 오버레이 측정 장치, 오버레이 측정 방법 및 반도체 소자의 제조방법

Family Cites Families (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL7606548A (nl) 1976-06-17 1977-12-20 Philips Nv Werkwijze en inrichting voor het uitrichten van een i.c.-patroon ten opzichte van een halfgelei- dend substraat.
US4166219A (en) 1978-05-19 1979-08-28 Bell Telephone Laboratories, Incorporated Detection of ground state hydrogen and deuterium
US4290384A (en) 1979-10-18 1981-09-22 The Perkin-Elmer Corporation Coating apparatus
US4437760A (en) 1981-12-07 1984-03-20 The Perkin-Elmer Corp. Reusable electrical overlay measurement circuit and process
US4538105A (en) 1981-12-07 1985-08-27 The Perkin-Elmer Corporation Overlay test wafer
US4475811A (en) 1983-04-28 1984-10-09 The Perkin-Elmer Corporation Overlay test measurement systems
US4568189A (en) 1983-09-26 1986-02-04 The United States Of America As Represented By The Secretary Of The Navy Apparatus and method for aligning a mask and wafer in the fabrication of integrated circuits
US4703434A (en) 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
JPS61168227A (ja) 1985-01-21 1986-07-29 Mitsubishi Electric Corp 微細パタ−ン露光用基板及び微細パタ−ン露光装置
JPS61170032A (ja) 1985-01-23 1986-07-31 Sumitomo Electric Ind Ltd 集積回路のマスク位置合せ用アライメントマ−ク
DE3530439A1 (de) 1985-08-26 1987-02-26 Siemens Ag Vorrichtung zum justieren einer mit mindestens einer justiermarke versehenen maske bezueglich eines mit mindestens einer gitterstruktur versehenen halbleiterwafers
US4714874A (en) 1985-11-12 1987-12-22 Miles Inc. Test strip identification and instrument calibration
IT1186523B (it) 1985-12-31 1987-11-26 Sgs Microelettronica Spa Procedimento per la valutazione dei parametri di processo nella fabbricazione di dispositivi a semiconduttore
NL8600639A (nl) 1986-03-12 1987-10-01 Asm Lithography Bv Werkwijze voor het ten opzichte van elkaar uitrichten van een masker en een substraat en inrichting voor het uitvoeren van de werkwijze.
GB2188417B (en) 1986-03-19 1990-02-21 British Steel Corp Molten metal gas analysis
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US5148214A (en) 1986-05-09 1992-09-15 Canon Kabushiki Kaisha Alignment and exposure apparatus
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
US4890239A (en) 1987-10-20 1989-12-26 Shipley Company, Inc. Lithographic process analysis and control system
US4855253A (en) 1988-01-29 1989-08-08 Hewlett-Packard Test method for random defects in electronic microstructures
JP2831643B2 (ja) 1988-02-23 1998-12-02 株式会社東芝 パターン露光方法
JP2666859B2 (ja) 1988-11-25 1997-10-22 日本電気株式会社 目合せ用バーニヤパターンを備えた半導体装置
JPH02260441A (ja) 1989-03-30 1990-10-23 Sumitomo Metal Ind Ltd 半導体素子
NL8900991A (nl) 1989-04-20 1990-11-16 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
DE4000785A1 (de) 1990-01-12 1991-07-18 Suess Kg Karl Justiermarken fuer zwei aufeinander einzujustierende objekte
DE69123610T2 (de) 1990-02-02 1997-04-24 Canon Kk Belichtungsverfahren
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
JPH0444307A (ja) 1990-06-12 1992-02-14 Nec Corp 半導体装置の製造方法
US5216257A (en) 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
NL9001611A (nl) 1990-07-16 1992-02-17 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
US5343292A (en) 1990-10-19 1994-08-30 University Of New Mexico Method and apparatus for alignment of submicron lithographic features
JPH04234930A (ja) 1991-01-10 1992-08-24 Shimano Inc 釣り用リール
DE69231715D1 (de) 1991-03-04 2001-04-12 At & T Corp Herstellungsverfahren von integrierten Halbleiterschaltungen unter Anwendung von latenten Bildern
JPH05127364A (ja) 1991-10-30 1993-05-25 Nikon Corp フオトマスク
US5296917A (en) 1992-01-21 1994-03-22 Mitsubishi Denki Kabushiki Kaisha Method of monitoring accuracy with which patterns are written
US5617340A (en) 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5383136A (en) 1992-03-13 1995-01-17 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
JP2530080B2 (ja) 1992-03-14 1996-09-04 株式会社東芝 半導体製造装置の評価装置およびその評価方法
US5479270A (en) 1992-05-19 1995-12-26 Eastman Kodak Company Method and apparatus for aligning depth images
US5403754A (en) 1992-09-30 1995-04-04 Texas Instruments Incorporated Lithography method for direct alignment of integrated circuits multiple layers
US5300786A (en) 1992-10-28 1994-04-05 International Business Machines Corporation Optical focus phase shift test pattern, monitoring system and process
US5438413A (en) 1993-03-03 1995-08-01 Kla Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
JPH06260390A (ja) 1993-03-05 1994-09-16 Toshiba Corp アライメント方法
US5604819A (en) 1993-03-15 1997-02-18 Schlumberger Technologies Inc. Determining offset between images of an IC
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5545593A (en) 1993-09-30 1996-08-13 Texas Instruments Incorporated Method of aligning layers in an integrated circuit device
KR970010666B1 (ko) * 1993-12-27 1997-06-30 현대전자산업 주식회사 반도체 소자의 패턴 중첩오차 측정방법
KR0168772B1 (ko) 1994-03-10 1999-02-01 김주용 포토마스크 및 그를 이용한 반도체 장치 제조 방법
US5699282A (en) 1994-04-28 1997-12-16 The United States Of America As Represented By The Secretary Of Commerce Methods and test structures for measuring overlay in multilayer devices
DE69531854T2 (de) 1994-08-02 2004-08-19 Koninklijke Philips Electronics N.V. Verfahren zur wiederholten abbildung eines maskenmusters auf einem substrat
US5477057A (en) 1994-08-17 1995-12-19 Svg Lithography Systems, Inc. Off axis alignment system for scanning photolithography
US5677091A (en) 1994-11-01 1997-10-14 International Business Machines Corporation Lithographic print bias/overlay target and applied metrology
KR100197191B1 (ko) 1994-11-14 1999-06-15 모리시다 요이치 레지스트 패턴 형성방법
US5629772A (en) 1994-12-20 1997-05-13 International Business Machines Corporation Monitoring of minimum features on a substrate
US5790254A (en) 1994-12-20 1998-08-04 International Business Machines Corporation Monitoring of minimum features on a substrate
JPH08233555A (ja) 1994-12-28 1996-09-13 Matsushita Electric Ind Co Ltd レジストパターンの測定方法及びレジストパターンの測定装置
US5923041A (en) 1995-02-03 1999-07-13 Us Commerce Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means
US5702567A (en) 1995-06-01 1997-12-30 Kabushiki Kaisha Toshiba Plurality of photolithographic alignment marks with shape, size and spacing based on circuit pattern features
US5596413A (en) 1995-08-17 1997-01-21 Lucent Technologies Inc. Sub-micron through-the-lens positioning utilizing out of phase segmented gratings
KR0170909B1 (ko) 1995-09-27 1999-03-30 김주용 반도체 소자의 오버레이 검사방법
JPH09115817A (ja) 1995-10-13 1997-05-02 Nikon Corp 露光方法及び装置
US5757507A (en) 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US5712707A (en) 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
GB9603262D0 (en) * 1996-02-16 1996-04-17 Bio Rad Micromeasurements Ltd Positional measurements
JP2842360B2 (ja) 1996-02-28 1999-01-06 日本電気株式会社 半導体装置およびその製造方法
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
JPH09244222A (ja) 1996-03-08 1997-09-19 Mitsubishi Electric Corp 重ね合わせ誤差測定用レチクル、そのレチクルを用いた重ね合わせ誤差測定方法および重ね合わせ誤差測定マーク
KR970067585A (ko) 1996-03-25 1997-10-13 오노 시게오 결상특성의 측정방법 및 투영노광방법
US5805290A (en) 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US5701013A (en) 1996-06-07 1997-12-23 Mosel Viltelic, Inc. Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5872042A (en) 1996-08-22 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for alignment mark regeneration
KR100367500B1 (ko) 1996-10-02 2003-08-14 주식회사 하이닉스반도체 노광 장비의 최적 포커스 확인 방법
US5731877A (en) 1996-10-08 1998-03-24 International Business Machines Corporation Automated system utilizing self-labeled target by pitch encoding
KR19980030438A (ko) 1996-10-29 1998-07-25 김영환 반도체 버어니어 구조 및 그것을 이용한 오버레이 정확도 측정방법
US5960125A (en) 1996-11-21 1999-09-28 Cognex Corporation Nonfeedback-based machine vision method for determining a calibration relationship between a camera and a moveable object
US5912983A (en) 1997-01-24 1999-06-15 Oki Electric Industry Co., Ltd Overlay accuracy measuring method
JPH10213895A (ja) 1997-01-30 1998-08-11 Sony Corp レチクルの合わせ測定用マーク
US5949547A (en) 1997-02-20 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. System for in-line monitoring of photo processing in VLSI fabrication
US5902703A (en) 1997-03-27 1999-05-11 Vlsi Technology, Inc. Method for measuring dimensional anomalies in photolithographed integrated circuits using overlay metrology, and masks therefor
US6172349B1 (en) 1997-03-31 2001-01-09 Kla-Tencor Corporation Autofocusing apparatus and method for high resolution microscope system
US6061119A (en) 1997-04-14 2000-05-09 Nikon Corporation Method of measuring image-forming error of projection optical system, method of manufacturing exposure apparatus, and method of manufacturing semiconductor device
JP3630269B2 (ja) 1997-08-18 2005-03-16 株式会社ルネサステクノロジ 重ね合わせマ−クおよびこの重ね合わせマークを使用した半導体装置の製造方法
US5976740A (en) 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5953128A (en) 1997-08-28 1999-09-14 International Business Machines Corporation Optically measurable serpentine edge tone reversed targets
US5965309A (en) 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5952241A (en) 1997-09-03 1999-09-14 Vlsi Technology, Inc. Method and apparatus for improving alignment for metal masking in conjuction with oxide and tungsten CMP
JP3580992B2 (ja) 1997-09-18 2004-10-27 旭化成マイクロシステム株式会社 フォトマスク
US5914784A (en) 1997-09-30 1999-06-22 International Business Machines Corporation Measurement method for linewidth metrology
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US6160622A (en) 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
JPH11325877A (ja) 1998-03-31 1999-11-26 Siemens Ag 測定誤差を減少させるための方法及び装置
US6077756A (en) 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
US5919714A (en) 1998-05-06 1999-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Segmented box-in-box for improving back end overlay measurement
US6140217A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6128089A (en) 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6137578A (en) 1998-07-28 2000-10-24 International Business Machines Corporation Segmented bar-in-bar target
US6061606A (en) 1998-08-25 2000-05-09 International Business Machines Corporation Geometric phase analysis for mask alignment
US6020966A (en) 1998-09-23 2000-02-01 International Business Machines Corporation Enhanced optical detection of minimum features using depolarization
US6037671A (en) 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
US6460265B2 (en) 1998-11-12 2002-10-08 International Business Machines Corporation Double-sided wafer exposure method and device
US6003223A (en) 1998-11-19 1999-12-21 Headway Technologies, Inc. Common alignment target image field stitching method for step and repeat alignment in photoresist
US6146910A (en) 1999-02-02 2000-11-14 The United States Of America, As Represented By The Secretary Of Commerce Target configuration and method for extraction of overlay vectors from targets having concealed features
TW569083B (en) 1999-02-04 2004-01-01 Asml Netherlands Bv Lithographic projection apparatus
US6042976A (en) 1999-02-05 2000-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of calibrating WEE exposure tool
US6346979B1 (en) 1999-03-17 2002-02-12 International Business Machines Corporation Process and apparatus to adjust exposure dose in lithography systems
US6084679A (en) 1999-04-02 2000-07-04 Advanced Micro Devices, Inc. Universal alignment marks for semiconductor defect capture and analysis
JP2000294487A (ja) 1999-04-06 2000-10-20 Matsushita Electronics Industry Corp 半導体装置製造用重ね合わせ測定マークの配置構造
US6183919B1 (en) 1999-06-11 2001-02-06 International Business Machines Corporation Darkfield imaging for enhancing optical detection of edges and minimum features
US6335151B1 (en) 1999-06-18 2002-01-01 International Business Machines Corporation Micro-surface fabrication process
US6405096B1 (en) 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6612159B1 (en) 1999-08-26 2003-09-02 Schlumberger Technologies, Inc. Overlay registration error measurement made simultaneously for more than two semiconductor wafer layers
US6350548B1 (en) 2000-03-15 2002-02-26 International Business Machines Corporation Nested overlay measurement target
US6484060B1 (en) 2000-03-24 2002-11-19 Micron Technology, Inc. Layout for measurement of overlay error
TW588414B (en) 2000-06-08 2004-05-21 Toshiba Corp Alignment method, overlap inspecting method and mask
US6429667B1 (en) 2000-06-19 2002-08-06 International Business Machines Corporation Electrically testable process window monitor for lithographic processing
US6462818B1 (en) 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US6218200B1 (en) * 2000-07-14 2001-04-17 Motorola, Inc. Multi-layer registration control for photolithography processes
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
EP1314198B1 (en) 2000-08-30 2017-03-08 KLA-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6766211B1 (en) 2000-10-03 2004-07-20 International Business Machines Corporation Structure and method for amplifying target overlay errors using the synthesized beat signal between interleaved arrays of differing periodicity
US6734971B2 (en) 2000-12-08 2004-05-11 Lael Instruments Method and apparatus for self-referenced wafer stage positional error mapping
US6879400B2 (en) 2000-12-11 2005-04-12 International Business Machines Corporation Single tone process window metrology target and method for lithographic processing
US6803995B2 (en) 2001-01-17 2004-10-12 International Business Machines Corporation Focus control system
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
JP2003014819A (ja) 2001-07-03 2003-01-15 Matsushita Electric Ind Co Ltd 半導体配線基板,半導体デバイス,半導体デバイスのテスト方法及びその実装方法
JP4046961B2 (ja) 2001-09-03 2008-02-13 キヤノン株式会社 位置検出方法、位置検出装置、露光装置及び露光方法
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6638671B2 (en) 2001-10-15 2003-10-28 International Business Machines Corporation Combined layer-to-layer and within-layer overlay control system
US6975398B2 (en) 2001-10-15 2005-12-13 International Business Machines Corporation Method for determining semiconductor overlay on groundrule devices
KR100809955B1 (ko) 2001-11-27 2008-03-06 삼성전자주식회사 포토리소그래피 공정의 얼라인 계측방법
KR100435260B1 (ko) 2001-12-03 2004-06-11 삼성전자주식회사 포토리소그래피 공정의 얼라인 계측방법
US6842237B2 (en) 2001-12-28 2005-01-11 International Business Machines Corporation Phase shifted test pattern for monitoring focus and aberrations in optical projection systems
US20030160163A1 (en) 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
US7190823B2 (en) * 2002-03-17 2007-03-13 United Microelectronics Corp. Overlay vernier pattern for measuring multi-layer overlay alignment accuracy and method for measuring the same
US6664121B2 (en) 2002-05-20 2003-12-16 Nikon Precision, Inc. Method and apparatus for position measurement of a pattern formed by a lithographic exposure tool
EP1400854A3 (en) * 2002-09-20 2009-07-08 ASML Netherlands B.V. Alignment systems and methods for lithographic systems
TWI227814B (en) * 2002-09-20 2005-02-11 Asml Netherlands Bv Alignment system and methods for lithographic systems using at least two wavelengths
US6869739B1 (en) 2003-01-28 2005-03-22 International Business Machines Corporation Integrated lithographic print and detection model for optical CD
JP2004296921A (ja) 2003-03-27 2004-10-21 Canon Inc 位置検出装置
TWI297045B (en) * 2003-05-07 2008-05-21 Microfabrica Inc Methods and apparatus for forming multi-layer structures using adhered masks
US7346878B1 (en) 2003-07-02 2008-03-18 Kla-Tencor Technologies Corporation Apparatus and methods for providing in-chip microtargets for metrology or inspection
US7608468B1 (en) * 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
US7230703B2 (en) 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7180593B2 (en) 2003-11-05 2007-02-20 Macronix International Co., Ltd. Overlay mark for aligning different layers on a semiconductor wafer
US6952886B1 (en) * 2003-11-10 2005-10-11 1St Silicon (Malaysia) Sdn Bhd Overlay vernier
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7042551B2 (en) 2004-02-03 2006-05-09 International Business Machines Corporation Method of patterning process metrology based on the intrinsic focus offset
US7065737B2 (en) * 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
US20050286052A1 (en) * 2004-06-23 2005-12-29 Kevin Huggins Elongated features for improved alignment process integration
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7096127B2 (en) 2004-10-13 2006-08-22 Infineon Technologies Ag Measuring flare in semiconductor lithography
US7379184B2 (en) 2004-10-18 2008-05-27 Nanometrics Incorporated Overlay measurement target
US7126669B2 (en) 2004-12-27 2006-10-24 Asml Netherlands B.V. Method and system for automated process correction using model parameters, and lithographic apparatus using such method and system
US7556898B2 (en) * 2005-09-01 2009-07-07 Infineon Technologies Ag Overlay target for polarized light lithography
US7474401B2 (en) 2005-09-13 2009-01-06 International Business Machines Corporation Multi-layer alignment and overlay target and measurement method
DE102005046973B4 (de) 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7526749B2 (en) 2005-10-31 2009-04-28 Kla-Tencor Technologies Corporation Methods and apparatus for designing and using micro-targets in overlay metrology
US7532305B2 (en) 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7616313B2 (en) 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
KR101244914B1 (ko) 2006-07-03 2013-03-18 삼성전자주식회사 디지털 촬영장치의 제어방법 및 이 방법을 채용한 디지털촬영장치
US7548315B2 (en) 2006-07-27 2009-06-16 Asml Netherlands B.V. System and method to compensate for critical dimension non-uniformity in a lithography system
US7671990B1 (en) 2006-07-28 2010-03-02 Kla-Tencor Technologies Corporation Cross hatched metrology marks and associated method of use
TWI302341B (en) * 2006-08-04 2008-10-21 Nanya Technology Corp Improved overlay mark
KR20080035345A (ko) 2006-10-19 2008-04-23 삼성전자주식회사 오버레이 계측설비 및 이를 이용한 오버레이 계측방법
US20080121939A1 (en) * 2006-11-06 2008-05-29 Michael Murray Methods of automatically generating dummy fill having reduced storage size
US7605907B2 (en) * 2007-03-27 2009-10-20 Asml Netherlands B.V. Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
US7473502B1 (en) 2007-08-03 2009-01-06 International Business Machines Corporation Imaging tool calibration artifact and method
DE102007046850B4 (de) * 2007-09-29 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Bestimmen einer Überlagerungsgenauigkeit
US7879515B2 (en) 2008-01-21 2011-02-01 International Business Machines Corporation Method to control semiconductor device overlay using post etch image metrology
CN101251724B (zh) * 2008-03-31 2010-09-15 上海微电子装备有限公司 一种用于光刻装置的对准系统、对准方法和光刻装置
US7684038B1 (en) 2008-04-04 2010-03-23 Kla-Tencor Corporation Overlay metrology target
US9097989B2 (en) * 2009-01-27 2015-08-04 International Business Machines Corporation Target and method for mask-to-wafer CD, pattern placement and overlay measurement and control
US8361683B2 (en) * 2010-04-09 2013-01-29 International Business Machines Corporation Multi-layer chip overlay target and measurement
US8513822B1 (en) * 2010-06-30 2013-08-20 Kla-Tencor Corporation Thin overlay mark for imaging based metrology
CN108398856B (zh) * 2013-08-07 2020-10-16 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法

Also Published As

Publication number Publication date
JP2013534314A (ja) 2013-09-02
TWI591782B (zh) 2017-07-11
CN105759570B (zh) 2019-08-09
TWI624917B (zh) 2018-05-21
KR20140125459A (ko) 2014-10-28
US10527954B2 (en) 2020-01-07
WO2012018673A3 (en) 2012-05-18
WO2012018673A2 (en) 2012-02-09
CN113391526A (zh) 2021-09-14
KR20180026582A (ko) 2018-03-12
KR101380532B1 (ko) 2014-04-01
JP2016105111A (ja) 2016-06-09
EP2601675A2 (en) 2013-06-12
JP6498236B2 (ja) 2019-04-10
CN103038861B (zh) 2016-04-13
US20180275530A1 (en) 2018-09-27
JP2017167567A (ja) 2017-09-21
KR20200045573A (ko) 2020-05-04
TW201841083A (zh) 2018-11-16
KR20130088848A (ko) 2013-08-08
KR102177681B1 (ko) 2020-11-12
CN110045579B (zh) 2022-01-14
CN110045579A (zh) 2019-07-23
EP3916758A1 (en) 2021-12-01
KR101700435B1 (ko) 2017-01-26
TWI429038B (zh) 2014-03-01
EP2601675B1 (en) 2021-09-01
US20120033215A1 (en) 2012-02-09
TW201731050A (zh) 2017-09-01
CN105759570A (zh) 2016-07-13
TW201413893A (zh) 2014-04-01
JP5894158B2 (ja) 2016-03-23
CN105589301A (zh) 2016-05-18
CN103038861A (zh) 2013-04-10
KR20130090421A (ko) 2013-08-13
TW201637157A (zh) 2016-10-16
TWI548047B (zh) 2016-09-01
KR101476080B1 (ko) 2014-12-23
TWI666529B (zh) 2019-07-21
TW201220447A (en) 2012-05-16
KR20170013399A (ko) 2017-02-06
CN105589301B (zh) 2018-12-25
US9927718B2 (en) 2018-03-27
EP2601675A4 (en) 2017-08-23

Similar Documents

Publication Publication Date Title
JP6498236B2 (ja) オーバーレイ計測測定システム
US9709903B2 (en) Overlay target geometry for measuring multiple pitches
KR102119288B1 (ko) 이미징 오버레이 계측 타겟 및 이미징 오버레이를 계측하기 위한 장치 및 방법
US7889338B2 (en) Coordinate measuring machine and method for structured illumination of substrates

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170309

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170523

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170620

R150 Certificate of patent or registration of utility model

Ref document number: 6165283

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250