KR101773806B1 - 기판의 클리닝 방법 및 기판의 클리닝 장치 - Google Patents

기판의 클리닝 방법 및 기판의 클리닝 장치 Download PDF

Info

Publication number
KR101773806B1
KR101773806B1 KR1020100140228A KR20100140228A KR101773806B1 KR 101773806 B1 KR101773806 B1 KR 101773806B1 KR 1020100140228 A KR1020100140228 A KR 1020100140228A KR 20100140228 A KR20100140228 A KR 20100140228A KR 101773806 B1 KR101773806 B1 KR 101773806B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
cleaning
pattern
plasma
Prior art date
Application number
KR1020100140228A
Other languages
English (en)
Other versions
KR20110081765A (ko
Inventor
시게루 타하라
후미코 야마시타
에이치 니시무라
토쿠히사 오오이와
타카야 마츠시타
히로시 도미타
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110081765A publication Critical patent/KR20110081765A/ko
Application granted granted Critical
Publication of KR101773806B1 publication Critical patent/KR101773806B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

실리콘층의 노출부를 포함하는 패턴을 플라즈마 에칭에 의해 형성할 때, 부생성물의 제거와 잔류 불소의 제거를 패턴에 손상을 주지 않고 행할 수 있는 기판의 클리닝 방법 및 기판의 클리닝 장치를 제공한다. 기판 상의 패턴을 플라즈마 에칭에 의해 형성한 후에, 기판의 표면을 클리닝하는 기판의 클리닝 방법으로서, 기판을 HF 가스 분위기에 노출하여 부생성물을 제거하는 부생성물 제거 공정과, 수소 가스와, 탄소와 수소를 구성 원소로서 포함하는 화합물의 가스와, 희가스를 포함하는 클리닝 가스를 플라즈마화하여 기판에 작용시키고, 상기 기판에 잔류한 불소를 제거하는 잔류 불소 제거 공정을 구비하고 있다.

Description

기판의 클리닝 방법 및 기판의 클리닝 장치{SUBSTRATE CLEANING METHOD AND SUBSTRATE CLEANING APPARATUS}
본 발명은 기판의 클리닝 방법 및 기판의 클리닝 장치에 관한 것이다.
종래부터 반도체 장치의 제조 분야에서는 플라즈마 에칭 공정에 의해 각종의 구조를 가지는 미세 패턴의 형성이 행해지고 있다. 또한, 이러한 플라즈마 에칭 공정에서는 부생성물이 발생하는 경우가 있어, 플라즈마 에칭 공정 후에 부생성물을 제거하기 위한 클리닝 공정을 실시하는 것이 행해지고 있다.
상기 플라즈마 에칭 기술 중 실리콘을 에칭하는 기술로서는, 제 1 단계에서 SF6 가스의 플라즈마에 의해 실리콘 표면의 자연 산화막을 제거하고, 제 2 단계에서 수소 가스의 플라즈마에 의해 잔류 불소를 제거하고, 제 3 단계에서 HCl와 O2의 플라즈마를 이용하여 실리콘을 에칭하는 기술이 알려져 있다(예를 들면, 특허 문헌 1 참조).
또한, 할로겐을 포함하는 가스를 사용하여 플라즈마 에칭을 행한 처리 챔버를 클리닝하는 기술로서, 예를 들면 산소 가스 및 할로겐 가스에 더하여 수소 가스 등을 클리닝 가스로서 플라즈마 클리닝을 행하는 기술이 알려져 있다(예를 들면, 특허 문헌 2 참조).
또한, 불소 원자를 포함하는 가스에 의한 플라즈마 에칭 후에, 질화 티탄막 또는 텅스텐막의 표면에 잔류한 불소를 수증기 등의 수소를 포함하는 가스 분위기 중에서 반도체 기판을 가열함으로써 제거하는 기술이 알려져 있다(예를 들면, 특허 문헌 3 참조).
그런데, 실리콘층과 절연막층이 적층된 구조의 패턴 등 실리콘층의 노출부를 포함하는 패턴을 플라즈마 에칭에 의해 형성할 경우 등에는 플라즈마 에칭 시에 패턴 표면에 SiO를 주성분으로 하는 부생성물이 부착되는 경우가 있다. 이러한 SiO를 주성분으로 하는 부생성물은 HF 가스 등의 불소계 가스를 이용한 기상(氣相) 제거에 의해 제거할 수 있지만, 이 경우 불소가 패턴 표면에 잔류한다. 그리고, 불소가 잔류한 상태로 방치하면, 잔류 불소와 실리콘층이 반응하여 패턴에 결함이 발생한다고 하는 문제가 있다.
잔류 불소를 제거하는 방법으로서는 세정이 효과적인 것으로 알려져 있다. 그러나, 본 발명자들이 상세히 조사한 바, 예를 들면 36 nm 이하 등의 미세 패턴의 경우, 세정을 행하면 표면 장력에 의해 패턴이 붕괴하는 경우가 있었다. 또한, 예를 들면 200℃ 정도의 가열 처리 혹은 50℃ ~ 150℃ 정도의 가열과 수증기에 노출되는 처리 등에서는 패턴에 잔류하는 불소 제거의 효과는 거의 얻지 못했다. 또한, 수소 가스의 플라즈마에 노출되어 잔류 불소의 제거를 행하면, 수소의 플라즈마에 의해 실리콘층이 깎인다고 하는 문제가 발생했다.
일본특허공개공보 평8-264507호 일본특허공개공보 평8-55838호 특개평10-163127호
상기와 같이, 플라즈마 에칭 기술에서는 종래부터 불소계 가스를 사용하여 자연 산화막을 제거하거나 잔류 불소를 세정 또는 수소 가스의 플라즈마 등을 이용함으로써 제거하는 기술이 알려져 있다. 그러나, 실리콘층과 절연막층이 적층된 구조의 패턴 등의 실리콘층의 노출부를 포함하는 패턴을 플라즈마 에칭에 의해 형성할 경우에, 부생성물의 제거와 잔류 불소의 제거를 패턴에 손상을 주지 않고 실시할 수 있는 기술은 없어, 이러한 기술의 개발이 요구되고 있었다.
본 발명은 상기 종래의 사정에 대처하여 이루어진 것으로, 실리콘층의 노출부를 포함하는 패턴을 플라즈마 에칭에 의해 형성할 때 부생성물의 제거와 잔류 불소의 제거를 패턴에 손상을 주지 않고 행할 수 있는 기판의 클리닝 방법 및 기판의 클리닝 장치를 제공하고자 하는 것이다.
본 발명에 따른 기판의 클리닝 방법은, 기판 상의 패턴을 플라즈마 에칭에 의해 형성한 후에, 상기 기판의 표면을 클리닝하는 기판의 클리닝 방법으로서, 상기 기판을 HF 가스 분위기에 노출하여 부생성물을 제거하는 부생성물 제거 공정과, 수소 가스와, 탄소와 수소를 구성 원소로서 포함하는 화합물의 가스와, 희가스를 포함하는 클리닝 가스를 플라즈마화하여 상기 기판에 작용시키고, 상기 기판에 잔류한 불소를 제거하는 잔류 불소 제거 공정을 구비한 것을 특징으로 한다.
본 발명에 따른 기판의 클리닝 장치는, 기판 상의 패턴을 플라즈마 에칭에 의해 형성한 후에, 상기 기판의 표면을 클리닝하는 기판의 클리닝 장치로서, 상기 기판을 HF 가스 분위기에 노출하여 부생성물을 제거하는 부생성물 제거 수단과, 수소 가스와, 탄소와 수소를 구성 원소로서 포함하는 화합물의 가스와, 희가스를 포함하는 클리닝 가스를 플라즈마화하여 상기 기판에 작용시키고, 상기 기판에 잔류한 불소를 제거하는 잔류 불소 제거 수단을 구비한 것을 특징으로 한다.
본 발명에 따르면, 실리콘층과 절연막층이 적층된 구조의 패턴을 플라즈마 에칭에 의해 형성할 때 부생성물의 제거와 잔류 불소의 제거를 패턴에 손상을 주지 않고 행할 수 있는 기판의 클리닝 방법 및 기판의 클리닝 장치를 제공할 수 있다.
도 1은 본 발명의 일 실시예에 따른 가스 처리 장치의 구성예를 모식적으로 도시한 종단면도이다.
도 2는 본 발명의 일 실시예에 따른 플라즈마 처리 장치의 구성예를 모식적으로 도시한 종단면도이다.
도 3은 본 발명의 일 실시예에 따른 기판의 클리닝 장치의 구성예를 모식적으로 도시한 도면이다.
도 4는 불소량의 측정 결과를 비교하여 나타낸 그래프이다.
도 5는 XPS의 측정 결과를 나타낸 그래프이다.
도 6은 실리콘층에 데미지가 발생한 패턴을 확대하여 모식적으로 도시한 도면이다.
이하, 본 발명의 상세를 도면을 참조하여 실시예에 대하여 설명한다.
도 1은 본 발명의 일 실시예의 부생성물 제거 공정에 사용되는 가스 처리 장치(100)의 구성예를 모식적으로 도시한 종단면도이다. 도 1에 도시한 바와 같이, 이 가스 처리 장치(100)는 내부를 기밀하게 폐색(閉塞) 가능하게 이루어진 처리 챔버(101)를 구비하고 있다. 이 처리 챔버(101) 내에는 반도체 웨이퍼(기판)(W)를 재치(載置, mount)하기 위한 스테이지(102)가 설치되어 있다. 스테이지(102)는 도시하지 않은 온도 제어 기구를 구비하고 있으며, 스테이지(102) 상에 재치된 반도체 웨이퍼(W)의 온도를 소정 온도로 유지할 수 있도록 되어 있다.
처리 챔버(101)의 상부에는 처리 챔버(101) 내로 소정의 처리 가스(본 실시예에서는 HF 가스)를 도입하기 위한 가스 도입부(103)가 설치되어 있다. 또한, 가스 도입부(103)가 처리 챔버(101) 내로 개구되는 개구부(104)의 하방에는 다수의 관통홀(105)이 형성된 가스 확산판(106)이 설치되어 있고, 이 가스 확산판(106)의 관통홀(105)로부터 균일하게 분산된 상태로 HF 가스가 반도체 웨이퍼(W)의 표면으로 공급되도록 구성되어 있다.
또한, 처리 챔버(101)의 저부(底部)에는 배기관(107)이 형성되어 있다. 이 배기관(107)은 도시하지 않은 진공 펌프 등에 접속되어 있어, 처리 챔버(101) 내를 소정의 압력으로 배기할 수 있도록 되어 있다.
도 2는 본 발명의 일 실시예의 잔류 불소 제거 공정에 사용되는 플라즈마 처리 장치(200)의 구성예를 모식적으로 도시한 종단면도이다. 도 2에 도시한 바와 같이, 이 플라즈마 처리 장치(200)는 내부를 기밀하게 폐색 가능하게 이루어진 처리 챔버(201)를 구비하고 있다. 이 처리 챔버(201) 내에는 반도체 웨이퍼(기판)(W)를 재치하기 위한 스테이지(202)가 설치되어 있다. 스테이지(202)는 도시하지 않은 온도 제어 기구를 구비하고 있으며, 스테이지(202) 상에 재치된 반도체 웨이퍼(W)의 온도를 소정 온도로 유지할 수 있도록 되어 있다.
처리 챔버(201)는, 예를 들면 쿼츠(quartz) 등으로 구성되어 있고, 그 천장부에는 쿼츠제의 윈도우(203)가 형성되어 있다. 그리고, 이 윈도우(203)의 외측에는 도시하지 않은 고주파 전원에 접속된 RF 코일(204)이 설치되어 있다. 윈도우(203)의 부분에는 소정의 클리닝 가스(예를 들면, H2+CH4+Ar)를 처리 챔버(201) 내로 도입하기 위한 가스 도입부(205)가 설치되어 있다. 그리고, RF 코일(204)로 공급된 고주파의 작용에 의해, 가스 도입부(205)로부터 도입된 클리닝 가스의 플라즈마(P)를 발생시키도록 되어 있다.
윈도우(203)의 하방에는 플라즈마의 차폐와 가스의 분산을 행하기 위한 가스 확산판(206)이 설치되어 있고, 이 가스 확산판(206)을 통하여 플라즈마 중의 래디칼이 분산된 상태로 스테이지(202) 상의 반도체 웨이퍼(W)로 공급되도록 되어 있다. 또한, 기판에 플라즈마를 작용시킬 경우, 직접 기판과 플라즈마를 접촉시켜도 좋고, 본 실시예와 같이 리모트 플라즈마에 의한 처리, 즉 직접 기판과 플라즈마를 접촉시키는 것이 아니라 기판과는 이격된 부위에서 발생시킨 플라즈마 중으로부터 인출된 래디칼을 기판에 작용시켜도 좋다.
또한, 처리 챔버(201)의 저부(底部)에는 배기관(207)이 형성되어 있다. 이 배기관(207)은 도시하지 않은 진공 펌프 등에 접속되어 있어, 처리 챔버(201) 내를 소정의 압력으로 배기할 수 있도록 되어 있다.
도 3은 상기 구성의 가스 처리 장치(100)와 플라즈마 처리 장치(200)를 일체화한 클리닝 처리 장치(300)의 구성을 도시한 것이다. 도 3에 도시한 바와 같이, 가스 처리 장치(100)와 플라즈마 처리 장치(200)는 진공 반송 챔버(301)를 개재하여 접속되어 있고, 진공 반송 챔버(301) 내에는 진공 분위기 하에서 반도체 웨이퍼(W)를 반송하기 위한 진공 반송 기구(302)가 설치되어 있다. 또한, 진공 반송 챔버(301)와 가스 처리 장치(100)의 사이 및 진공 반송 챔버(301)와 플라즈마 처리 장치(200)의 사이에는 각각 도시하지 않은 개폐 기구(게이트 밸브 등)가 설치되어 있다.
또한, 진공 반송 챔버(301)에는 로드록실(303)이 접속되어 있고, 이 로드록실(303)을 거쳐 반도체 웨이퍼(W)가 진공 반송 챔버(301)로 반입, 반출되도록 되어 있다. 로드록실(303)의 외측에는 상압 분위기 하에서 반도체 웨이퍼(W)를 반송하기 위한 반송 기구(304)가 설치되어 있고, 이 반송 기구(304)의 주위에는 반도체 웨이퍼(W)를 위치 결정하기 위한 얼라이너(305) 및 반도체 웨이퍼(W)를 수용한 후프(FOUP)(또는 카셋트)(306)가 재치되는 로드 포트(307)가 설치되어 있다.
상기 구성의 클리닝 처리 장치(300)를 이용하여, 본 실시예에서는 다음과 같이 반도체 웨이퍼(W)의 클리닝을 행한다.
클리닝 처리 장치(300)의 로드 포트(307)에는 전공정인 플라즈마 에칭 공정에서 실리콘층의 노출부를 포함하는 패턴을 형성한 반도체 웨이퍼(W)를 수용한 후프(또는 카셋트)(306)가 재치된다.
이어서, 반송 기구(304)에 의해 후프(306) 내의 반도체 웨이퍼(W)가 취출되어 우선 얼라이너(305)로 반송되고, 여기서 반도체 웨이퍼(W)의 위치 결정이 이루어진다. 이 얼라이너(305)에 의한 위치 결정은 반도체 웨이퍼(W)를 회전시키면서 그 주연부의 위치 및 노치의 위치를 검출하는 공지의 방법 등에 의해 행해진다. 이후, 반도체 웨이퍼(W)가 로드록실(303) 내로 반송된다.
로드록실(303) 내로 반도체 웨이퍼(W)가 반입되고, 반송 기구(304)의 반송 암이 로드록실(303) 내로부터 퇴피한 후, 로드록실(303)의 대기측의 개폐 기구(도시하지 않음)가 닫히고, 로드록실(303) 내가 소정의 진공도가 될 때까지 배기된다. 이후, 로드록실(303)의 진공측의 개폐 기구(도시하지 않음)가 열리고, 진공 반송 기구(302)에 의해 진공 반송 챔버(301) 내로 반도체 웨이퍼(W)가 반입된다.
진공 반송 챔버(301) 내로 반입된 반도체 웨이퍼(W)는 우선 진공 반송 챔버(301)와 가스 처리 장치(100)(처리 챔버(101))의 사이에 설치된 도시하지 않은 개폐 기구를 연 상태로 도 1에 도시한 처리 챔버(101) 내로 반입되고, 스테이지(102) 상에 반도체 웨이퍼(W)가 재치되어, 여기서 부생성물 제거 공정이 실시된다.
이 가스 처리 장치(100)에서의 부생성물 제거 공정은 이하와 같이 실시된다. 즉, 부생성물 제거 공정에서는 진공 반송 기구(302)의 반송 암이 퇴피한 후, 도시하지 않은 개폐 기구가 닫힌다. 그리고, 미리 소정 온도로 설정된 스테이지(102) 상에 반도체 웨이퍼(W)가 재치됨으로써 반도체 웨이퍼(W)가 소정 온도로 유지된 상태가 되고, 이 상태로 가스 도입부(103)로부터 소정의 처리 가스(본 실시예에서는 HF 가스)가 도입되고, 배기관(107)으로부터 배기가 행해져, 처리 챔버(101) 내가 소정 압력의 처리 가스 분위기로 된다.
부생성물 제거 공정에서의 반도체 웨이퍼(W)의 온도는, 예를 들면 수십도(예를 들면, 20 ~ 40℃), 압력은, 예를 들면 수십 Pa ~ 수천 Pa(예를 들면, 수백 mTorr ~ 수십 Torr), 처리 가스 유량은, 예를 들면 수백 sccm ~ 천수백 sccm 정도, 처리 시간은, 예를 들면 수십초부터 수분 정도이다. 이 부생성물 제거 공정에 의해 플라즈마 에칭 공정에서 발생한 SiO를 주성분으로 하는 부생성물을 제거할 수 있다. 그러나, 이 부생성물 제거 공정의 실시 후에는 HF 가스를 사용함에 따라 반도체 웨이퍼(W)에 불소가 잔류한 상태가 된다. 이와 같이 반도체 웨이퍼(W)에 불소가 잔류한 상태로 장시간 방치하면, 잔류 불소와 실리콘이 반응하여 패턴에 결함이 발생한다.
가스 처리 장치(100)에서의 부생성물 제거 공정이 종료되면, 진공 반송 기구(302)에 의해 반도체 웨이퍼(W)가 가스 처리 장치(100)로부터 반출되고, 진공 반송 챔버(301)를 거쳐 플라즈마 처리 장치(200)의 처리 챔버(201) 내로 반입된다. 즉, 진공 반송 챔버(301)와 플라즈마 처리 장치(200)(처리 챔버(201))의 사이에 설치된 도시하지 않은 개폐 기구를 연 상태로, 반도체 웨이퍼(W)가 도 2에 도시한 처리 챔버(201) 내의 스테이지(202) 상에 재치된다. 그리고, 플라즈마 처리 장치(200)에 의해 이하와 같이 잔류 불소 제거 공정이 행해진다.
이 잔류 불소 제거 공정에서는 진공 반송 기구(302)의 반송 암이 처리 챔버(201) 내로부터 퇴피한 후, 도시하지 않은 개폐 기구가 닫힌다. 그리고, 미리 소정 온도로 설정된 스테이지(202) 상에 반도체 웨이퍼(W)가 재치됨으로써 반도체 웨이퍼(W)가 소정 온도로 유지된 상태가 되고, 이 상태로 가스 도입부(205)로부터 소정의 클리닝 가스(본 실시예에서는 H2+CH4+Ar)가 도입되고, 배기관(207)으로부터 배기가 행해져, 처리 챔버(201) 내가 소정의 압력으로 유지된다.
이와 함께, RF 코일(204)에 고주파 전력이 인가됨으로써 클리닝 가스의 플라즈마(P)가 발생한다. 이 플라즈마(P)는 가스 확산판(206)에 의해 가스 확산판(206)과 윈도우(203)의 사이의 공간에 유지되지만, 플라즈마(P) 중으로부터의 래디칼이 반도체 웨이퍼(W)에 작용하고, 반도체 웨이퍼(W)에 잔류한 불소가, 예를 들면 H2와의 반응으로 HF가 되어 제거된다.
이때, 종래와 같이 H2만의 플라즈마 등을 이용하면, 반도체 웨이퍼(W)의 표면에 형성된 패턴 중 노출된 실리콘층의 부분이 에칭되어 패턴이 손상된다. 도 6은 실리콘층의 부분이 에칭되어 패턴이 손상된 예를 모식적으로 도시한 것이며, 도 6에 도시한 바와 같이, 실리콘층의 노출 부분에 결함 등의 손상이 발생한다. 따라서, H2를, 본 실시예와 같이 희가스에 대하여 4 체적%이하인 농도로 이용하는 것이 바람직하다.
또한, 본 실시예에서는 클리닝 가스 중에 탄소와 수소를 구성 원소로서 포함하는 화합물인 CH4 가스가 포함되어 있으므로, 이러한 실리콘층의 부분의 에칭을 억제할 수 있어, 반도체 웨이퍼(W)에 형성되어 있는 패턴이 손상되는 것을 억제할 수 있다. 이는, 실리콘층의 노출 부분의 표면에 SiC가 형성되어, SiC가 보호층으로서 작용하기 때문이라고 추정된다. 이 점에 대해서는, 이하에 나타낸 측정 결과에 의해 뒷받침된다.
도 5는 세로축을 강도, 가로축을 결합 에너지로 하고, 부생성물 제거 공정만을 행한 후의 반도체 웨이퍼(W)(실선(A))와, 부생성물 제거 공정 후에 상기한 잔류 불소 제거 공정을 행한 반도체 웨이퍼(W)(점선(B))를 XPS(X선광 전자 분광)에 의해 측정한 결과를 나타낸 그래프이다. 도 5에서 실선(A), 점선(B)에 공통적으로 나타나는 높은 피크는 실리콘과 실리콘의 결합 에너지를 나타내는 피크이다. 그리고, 점선(B)에서는 이 피크보다 결합 에너지가 높은 쪽의 이 피크의 아래쪽의 부분(Si와 C의 결합 에너지를 나타냄)의 강도가 높아져 있으므로, SiC가 형성되어 있는 것을 알 수 있다. 이와 같이 실리콘의 표면에 SiC가 형성되어 있는 경우, 산소로 애싱을 행하여 SiC를 SiO로 하고, 다음 공정으로 돌릴 수도 있다.
또한, 상기 잔류 불소 제거 공정에서는 CH4가 존재함에 따라 불소가 CHF3 등의 가스로서 제거되므로, 불소의 제거 효율도 높일 수 있다. 또한, 이와 같이 CH4에 불소의 제거 효과가 있으므로, 반도체 웨이퍼(W)를 고온으로 가열해도 되는 경우에는 H2를 첨가하지 않고 CH4와 Ar 등의 희가스만의 클리닝 가스를 이용하고, 반도체 웨이퍼(W)를 고온으로 가열함으로써 퇴적물이 발생하지 않도록 하여 잔류 불소 제거 공정을 행하는 것도 가능하다. 그러나, 대부분의 경우 반도체 웨이퍼(W)를 고온으로 가열하는 것은 바람직하지 않다.
상기 플라즈마 처리 장치(200)에서의 잔류 불소 제거 공정이 종료되면, 진공 반송 기구(302)에 의해 반도체 웨이퍼(W)가 플라즈마 처리 장치(200)로부터 반출되어 진공 반송 챔버(301)를 거쳐 로드록실(303) 내로 반입된다. 그리고, 이 로드록실(303)을 거쳐 반송 기구(304)에 의해 반도체 웨이퍼(W)가 대기 중으로 반출되고, 로드 포트(307)에 재치된 후프(306) 내에 수용된다.
실험예로서, 가스 처리 장치(100)에서 부생성물 제거 공정을 행한 후, 플라즈마 처리 장치(200)에 의해 잔류 불소 제거 공정을 행했다. 부생성물 제거 공정에서의 처리 조건은 압력 = 1330 Pa(10 Torr), HF 가스 = 2800 sccm, 스테이지 온도 = 30℃, 처리 시간 = 60 초이다. 또한, 잔류 불소 제거 공정에서의 처리 조건은 압력 = 133 Pa(1 Torr), 클리닝 가스 = (H2 + Ar = 1700 sccm)+CH4(5 sccm), 고주파 전력 = 200 W(27 MHz), 스테이지 온도 = 80℃, 처리 시간 = 10 분이다.
본 실험예에서는 잔류 불소 제거 공정 전의 불소 잔류량이 5.7 × 1013 atoms/cm2인 것에 반해, 잔류 불소 제거 공정 후에는 2.9 × 1012 atoms/cm2로 할 수 있고, 패턴을 전자 현미경으로 관찰한 바, 실리콘층의 에칭에 의한 손상도 보이지 않았다.
비교예로서, CH4를 첨가하지 않은 처리 가스로 잔류 불소 제거 공정을 행한 바, 고주파 전력을 50 W로 하면, 패턴의 실리콘층의 에칭에 의한 손상이 보였다. 또한, 고주파 전력을 25 W로 하면, 패턴의 실리콘층의 에칭에 의한 손상은 없었지만, 잔류 불소 제거 공정 후의 잔류 불소량이 9.1 × 1012 atoms/cm2가 되어, 불소의 제거 효과가 실험예에 비해 명백하게 떨어져 있었다. 또한, 다른 조건은 상기한 실험예의 경우와 동일하다. 이 실험예, 비교예 및 잔류 불소 제거 공정 전(부생성물 제거 공정만)의 잔류 불소의 측정 결과를, 세로축을 불소량으로 한 도 4의 막대 그래프에 나타낸다.
이상과 같이, 실험예에서는 실리콘층의 노출부를 포함하는 패턴을 플라즈마 에칭에 의해 형성할 때 부생성물의 제거와 잔류 불소의 제거를 패턴에 손상을 주지 않고 행할 수 있었다.
또한, 본 발명은 상기 실시예 및 실험예에 한정되지 않고 각종의 변형이 가능한 것은 물론이다. 예를 들면, 잔류 불소 제거 공정에 사용되는 플라즈마 처리 장치는 유도 결합형의 리모트 플라즈마에 의한 것이 아니라, 예를 들면 평행 평판형의 용량 결합형의 플라즈마 처리 장치 등도 이용할 수 있다. 이 경우, 예를 들면 상부 전극에만 플라즈마 생성용의 고주파 전력을 공급하고, 하부 전극 상에 재치된 반도체 웨이퍼에 대하여 플라즈마를 작용시키도록 해도 좋다. 또한, 잔류 불소 제거 공정에 사용되는 탄소와 수소를 구성 원소로서 포함하는 화합물의 가스는 CH4 가스에 한정되지 않고, 예를 들면 CH3OH 가스 등을 이용해도 좋다.
100 : 가스 처리 장치
200 : 플라즈마 처리 장치
300 : 클리닝 처리 장치
301 : 진공 반송 챔버
302 : 진공 반송 기구
303 : 로드록실
304 : 반송 기구
305 : 얼라이너
306 : 후프
307 : 로드 포트

Claims (8)

  1. 기판 상의 패턴을 플라즈마 에칭에 의해 형성한 후에, 상기 기판의 표면을 클리닝하는 기판의 클리닝 방법으로서,
    상기 기판을 HF 가스 분위기에 노출하여 부생성물을 제거하는 부생성물 제거 공정과,
    수소 가스와, 탄소와 수소를 구성 원소로서 포함하는 화합물 가스와, 희가스를 포함하는 클리닝 가스를 플라즈마화하여 상기 기판에 작용시켜, 상기 기판에 잔류한 불소를 제거하는 잔류 불소 제거 공정
    을 구비하고,
    상기 기판 상의 패턴은 실리콘층의 노출부를 포함하는 패턴이고, 상기 잔류 불소 제거 공정에서 상기 실리콘층의 노출부의 표면에 SiC로 이루어지는 층을 형성하고,
    상기 탄소와 수소를 구성 원소로서 포함하는 화합물의 가스는, CH4 가스 또는 CH3OH 가스인 것을 특징으로 하는 기판의 클리닝 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 희가스는, Ar 가스인 것을 특징으로 하는 클리닝 방법.
  4. 제 1 항 또는 제 3 항에 있어서,
    상기 클리닝 가스 중의, 상기 수소 가스의 상기 희가스에 대한 농도는, 4 체적% 이하인 것을 특징으로 하는 클리닝 방법.
  5. 삭제
  6. 삭제
  7. 기판 상의 패턴을 플라즈마 에칭에 의해 형성한 후에, 상기 기판의 표면을 클리닝하는 기판의 클리닝 장치로서,
    상기 기판을 HF 가스 분위기에 노출하여 부생성물을 제거하는 부생성물 제거 수단과,
    수소 가스와, 탄소와 수소를 구성 원소로서 포함하는 화합물의 가스와, 희가스를 포함하는 클리닝 가스를 플라즈마화하여 상기 기판에 작용시켜, 상기 기판에 잔류한 불소를 제거하는 잔류 불소 제거 수단
    을 구비하고,
    상기 기판 상의 패턴은 실리콘층의 노출부를 포함하는 패턴이고, 상기 잔류 불소 제거 수단으로 상기 실리콘층의 노출부의 표면에 SiC로 이루어지는 층을 형성하고,
    상기 탄소와 수소를 구성 원소로서 포함하는 화합물의 가스는, CH4 가스 또는 CH3OH 가스인 것을 특징으로 하는 기판의 클리닝 장치.
  8. 삭제
KR1020100140228A 2010-01-08 2010-12-31 기판의 클리닝 방법 및 기판의 클리닝 장치 KR101773806B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010002720A JP5492574B2 (ja) 2010-01-08 2010-01-08 基板のクリーニング方法及び基板のクリーニング装置
JPJP-P-2010-002720 2010-01-08

Publications (2)

Publication Number Publication Date
KR20110081765A KR20110081765A (ko) 2011-07-14
KR101773806B1 true KR101773806B1 (ko) 2017-09-01

Family

ID=44257560

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100140228A KR101773806B1 (ko) 2010-01-08 2010-12-31 기판의 클리닝 방법 및 기판의 클리닝 장치

Country Status (5)

Country Link
US (1) US20110168205A1 (ko)
JP (1) JP5492574B2 (ko)
KR (1) KR101773806B1 (ko)
CN (1) CN102148153B (ko)
TW (1) TWI521591B (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB201318463D0 (en) * 2013-08-13 2013-12-04 Medical Res Council Graphene Modification
US10236186B2 (en) * 2014-08-05 2019-03-19 Tokyo Electron Limited Methods for dry hard mask removal on a microelectronic substrate
WO2016025462A1 (en) * 2014-08-12 2016-02-18 Tokyo Electron Limited Substrate processing method
WO2016118088A1 (en) * 2015-01-22 2016-07-28 Chan Chia Sern Non-thermal soft plasma cleaning
US9601319B1 (en) * 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
JP6854611B2 (ja) * 2016-01-13 2021-04-07 東京エレクトロン株式会社 基板処理方法、基板処理装置及び基板処理システム
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
JP6845773B2 (ja) * 2017-09-15 2021-03-24 株式会社日立ハイテク プラズマ処理方法
US11209877B2 (en) * 2018-03-16 2021-12-28 Semiconductor Energy Laboratory Co., Ltd. Electrical module, display panel, display device, input/output device, data processing device, and method of manufacturing electrical module
US10872761B2 (en) * 2018-06-25 2020-12-22 Mattson Technology Inc. Post etch defluorination process
WO2020046547A1 (en) * 2018-08-31 2020-03-05 Mattson Technology, Inc. Oxide removal from titanium nitride surfaces
JP7345334B2 (ja) * 2019-09-18 2023-09-15 東京エレクトロン株式会社 エッチング方法及び基板処理システム

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020072016A1 (en) 2000-12-13 2002-06-13 Applied Materials, Inc. Substrate cleaning apparatus and method
US6958294B2 (en) 1998-11-25 2005-10-25 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
JP2009194036A (ja) 2008-02-12 2009-08-27 Dainippon Screen Mfg Co Ltd ポリマー除去方法およびポリマー除去装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JP3263132B2 (ja) * 1992-07-09 2002-03-04 株式会社東芝 半導体装置の製造方法
JPH0684852A (ja) * 1992-09-02 1994-03-25 Fujitsu Ltd 半導体装置の製造方法
JPH08264507A (ja) * 1995-03-20 1996-10-11 Matsushita Electron Corp シリコンのエッチング方法
JP3176857B2 (ja) * 1996-12-04 2001-06-18 芝浦メカトロニクス株式会社 半導体装置の製造方法
JPH1197414A (ja) * 1997-09-25 1999-04-09 Sony Corp 酸化シリコン系絶縁膜のプラズマエッチング方法
US6432830B1 (en) * 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
JP4590700B2 (ja) * 2000-07-14 2010-12-01 ソニー株式会社 基板洗浄方法及び基板洗浄装置
JP3997859B2 (ja) * 2002-07-25 2007-10-24 株式会社日立製作所 半導体装置の製造方法および製造装置
KR100931856B1 (ko) * 2007-08-24 2009-12-15 세메스 주식회사 기판 세정 장치 및 기판 세정 방법
JP2009088244A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 基板クリーニング装置、基板処理装置、基板クリーニング方法、基板処理方法及び記憶媒体

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6958294B2 (en) 1998-11-25 2005-10-25 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
US20020072016A1 (en) 2000-12-13 2002-06-13 Applied Materials, Inc. Substrate cleaning apparatus and method
JP2009194036A (ja) 2008-02-12 2009-08-27 Dainippon Screen Mfg Co Ltd ポリマー除去方法およびポリマー除去装置

Also Published As

Publication number Publication date
CN102148153B (zh) 2013-04-17
TW201142942A (en) 2011-12-01
CN102148153A (zh) 2011-08-10
TWI521591B (zh) 2016-02-11
JP5492574B2 (ja) 2014-05-14
US20110168205A1 (en) 2011-07-14
JP2011142248A (ja) 2011-07-21
KR20110081765A (ko) 2011-07-14

Similar Documents

Publication Publication Date Title
KR101773806B1 (ko) 기판의 클리닝 방법 및 기판의 클리닝 장치
JP3815937B2 (ja) 半導体装置のコンタクトホール埋め込み方法
CN110809817B (zh) 蚀刻方法和蚀刻装置
JP6373150B2 (ja) 基板処理システム及び基板処理方法
JP6637420B2 (ja) エピタキシャル成長に先立って基板表面を予洗浄するための方法及び装置
JP2017199909A5 (ko)
KR20190142426A (ko) 리소그래피에서 확률적 수율 영향 제거
CN111279453A (zh) 用于在外延沉积之前进行表面制备的方法和设备
WO2012070368A9 (ja) 炭化珪素半導体装置の製造方法および炭化珪素半導体装置の製造装置
JPH028361A (ja) 処理装置及び方法
WO2011158557A1 (ja) 炭化珪素半導体の洗浄方法および炭化珪素半導体の洗浄装置
JP2008109136A (ja) 熱プロセスによってエッチングされた基板からハロゲン残渣を除去するための統合された方法
TWI767918B (zh) 電漿蝕刻方法、電漿蝕刻裝置及基板載置台
JP2021184505A (ja) 基板処理システム及び基板処理方法
TWI398920B (zh) Surface treatment of substrates
JP2007266099A (ja) 低誘電率膜のダメージ修復方法、半導体製造装置、記憶媒体
KR100784661B1 (ko) 반도체 소자의 제조방법
TWI756424B (zh) 電漿處理裝置之洗淨方法
US11557486B2 (en) Etching method, damage layer removal method, and storage medium
JP7372073B2 (ja) 基板処理方法、基板処理装置及びクリーニング装置
JP2000012521A (ja) プラズマアッシング方法
JPH04268728A (ja) エッチング方法および装置
KR20030061515A (ko) 반도체 건식식각장치 및 이를 이용한 건식식각방법
JP2006005181A (ja) アッシング方法
JPH04364731A (ja) エッチング方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant