CN102148153B - 基板清洁方法及基板清洁装置 - Google Patents

基板清洁方法及基板清洁装置 Download PDF

Info

Publication number
CN102148153B
CN102148153B CN2011100206392A CN201110020639A CN102148153B CN 102148153 B CN102148153 B CN 102148153B CN 2011100206392 A CN2011100206392 A CN 2011100206392A CN 201110020639 A CN201110020639 A CN 201110020639A CN 102148153 B CN102148153 B CN 102148153B
Authority
CN
China
Prior art keywords
gas
substrate
mentioned
fluorine
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2011100206392A
Other languages
English (en)
Other versions
CN102148153A (zh
Inventor
田原慈
山下扶美子
西村荣一
大岩德久
松下贵哉
富田宽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102148153A publication Critical patent/CN102148153A/zh
Application granted granted Critical
Publication of CN102148153B publication Critical patent/CN102148153B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

本发明提供基板清洁方法及基板清洁装置。该基板清洁方法及基板清洁装置在利用等离子体蚀刻形成包含硅层的暴露部的图案时,不对图案产生损伤就能够除去副生成物和除去残留氟。该基板清洁方法在利用等离子体蚀刻形成基板上的图案之后清洁基板的表面,该基板清洁方法包括:副生成物除去工序,在该工序中,将基板暴露于HF气体气氛中而除去副生成物;残留氟除去工序,在该工序中,将清洁气体等离子化并使其作用于基板,除去残留于该基板上的氟,上述清洁气体包含氢气及作为构成元素含有碳和氢的化合物的气体。

Description

基板清洁方法及基板清洁装置
技术领域
本发明涉及基板清洁方法及基板清洁装置。 
以往,在半导体装置的制造领域中,利用等离子体蚀刻工序形成具有各种构造的微细图案。另外,在该等离子体蚀刻工序中,有时会产生副生成物,在等离子体蚀刻工序之后进行用于除去副生成物的清洁工序。 
作为上述等离子体蚀刻技术中的蚀刻硅的技术,公知有这样的技术:在第1步骤中利用SF6气体的等离子体除去硅表面的自然氧化膜,在第2步骤中利用氢气的等离子体除去残留氟,在第3步骤中使用HCl和O2的等离子体蚀刻硅(例如参照专利文献1)。 
另外,提到使用含有卤素的气体对进行了等离子体蚀刻后的处理室进行清洁的技术,例如公知有这样的技术:除氧气和卤素气体之外,还将氢气等作为清洁气体进行等离子体清洁(例如参照专利文献2)。 
还公知有这样的技术:在利用含有氟原子的气体进行等离子体蚀刻之后,通过在水蒸气等含有氢的气体气氛中对半导体基板进行加热来除去残留在氮化钛膜、钨膜的表面的氟(例如参照专利文献3)。 
但是,在利用等离子体蚀刻形成层叠有硅层和绝缘膜层的构造的图案等含有硅层的暴露部的图案等情况下,存在等离子体蚀刻时在图案表面附着有以SiO为主要成分的副生成物的情况。这样的以SiO为主要成分的副生成物虽然能够通过使用HF 
的RF线圈204。在窗203的局部设有用于将规定的清洁气体(例如H2+CH4+Ar)导入到处理室201内的气体导入部205。而且,利用供给到RF线圈204的高频的作用,产生从气体导入部205导入的清洁气体的等离子体P。 
在窗203的下方设有用于遮蔽等离子体和分散气体的气体扩散板206,经由该气体扩散板206将等离子体中的自由基以分散的状态供给到载物台202上的半导体晶圆W。另外,在使等离子体作用于基板的情况下,可以使基板和等离子体直接接触,也可以像本实施方式这样进行远程等离子体的处理、即不使基板和等离子体直接接触,而使从在与基板分开的部位产生的等离子体中引出的自由基作用于基板。 
另外,在处理室201的底部设有排气管207。该排气管207连接于未图示的真空泵等,能够将处理室201内排气成规定的压力。 
图3表示将上述构造的气体处理装置100和等离子体处理装置200一体化而成的清洁处理装置300的构造。如该图所示,气体处理装置100和等离子体处理装置200借助于真空输送室301相连接,在真空输送室301内配设有用于在真空气氛下输送半导体晶圆W的真空输送机构302。另外,在真空输送室301和气体处理装置100之间及真空输送室301和等离子体处理装置200之间分别设有未图示的开闭机构(闸阀等)。 
另外,在真空输送室301上连接有加载互锁真空室303,经由该加载互锁真空室303将半导体晶圆W搬入到真空输送室301中或将其从真空输送室301中搬出。在加载互锁真空室303的外侧配设有用于在常压气氛下输送半导体晶圆W的输送机构304。在该输送机构304的周围配设有用于对半导体晶圆W进行定位的对准器305及用于载置收容了半导体晶圆W的前开式晶 
圆W维持在规定温度,在该状态下,从气体导入部103导入规定的处理气体(本实施方式中是HF气体),并且从排气管107排气,使处理室101内成为规定压力的处理气体气氛。 
副生成物除去工序中的半导体晶圆W的温度例如为几十度(例如20~40℃),压力例如为几十Pa~几千Pa(例如几百mTorr~几十Torr),处理气体流量例如为几百sccm~一千几百sccm左右,处理时间例如为几十秒~几分钟左右。利用该副生成物除去工序,能够除去在等离子体蚀刻工序中产生的、以Si0为主要成分的副生成物。但是,在实施该副生成物除去工序之后,由于使用了HF气体,因此成为在半导体晶圆W上残留有氟的状态。这样,在半导体晶圆W上残留有氟的状态下长时间放置时,残留氟和硅发生反应,图案产生缺陷。 
在气体处理装置100的副生成物除去工序结束时,利用真空输送机构302将半导体晶圆W从气体处理装置100搬出,经由真空输送室301搬入到等离子体处理装置200的处理室201内。即,在打开设置于真空输送室301和等离子体处理装置200(处理室201)之间的未图示的开闭机构的状态下,将半导体晶圆W载置在图2所示的处理室201内的载物台202上。然后,利用等离子体处理装置200如下所述那样进行残留氟除去工序。 
在该残留氟除去工序中,在真空输送机构302的输送臂从处理室201内退避之后,关闭未图示的开闭机构。然后,通过将半导体晶圆W载置在预先设定为规定温度的载物台202上来将半导体晶圆W维持在规定温度,在该状态下,从气体导入部205导入规定的清洁气体(本实施方式中是H2+CH4+Ar),并且从排气管207排气,使处理室201内维持在规定的压力。 
与此同时,通过对RF线圈204施加高频电力,产生清洁气体的等离子体P。该等离子体P被气体扩散板206维持在气体扩 散板206和窗203之间的空间中,但从等离子体P中引出的自由基作用于半导体晶圆W,残留于半导体晶圆W上的氟例如与H2发生反应成为HF而被除去。 
此时,在像以往那样仅采用H2的等离子体等时,形成于半导体晶圆W表面上的图案中的、露出的硅层的部分被蚀刻,图案受到损伤。图6示意性地表示硅层的部分被蚀刻、图案受到损伤的例子,如该图所示,硅层的暴露部分产生缺口等损伤。 
另一方面,在本实施方式中,由于在清洁气体中包含作为构成元素含有碳和氢的化合物、即CH4气体,因此,能够抑制该硅层的部分被蚀刻,从而能够抑制形成于半导体晶圆W上的图案受到损伤。其原因推断为,在硅层的暴露部分的表面形成有SiC,SiC起到保护层的作用。这一点通过如下所示的测定结果能够证明。 
图5是将纵轴作为强度、横轴作为结合能来表示利用XPS(X射线光电子分光)测定仅进行了副生成物除去工序之后的半导体晶圆W(实线A)和在副生成物除去工序之后进行了上述残留氟除去工序的半导体晶圆W(虚线B)的结果的坐标图。在该图中,在实线A、虚线B中共同地出现的较高的峰值是表示硅和硅的结合能的峰值。而且,在曲线B中,结合能比该峰值高的一侧的类似山峰的山脚部分(表示Si和C的结合能)的强度较高,形成有SiC。这样在硅的表面形成有SiC的情况下,也能够利用氧进行灰化,使SiC形成为SiO而过渡到下一工序。 
另外,在上述残留氟除去工序中,由于存在CH4,因此氟能够作为CHF3等气体被除去,因此,也能够提高氟的除去效率。另外,由于这样地CH4具有氟的除去效果,因此,在可以将半导体晶圆W加热到高温的情况下,通过不添加H2而仅采用CH4和Ar等稀有气体的清洁气体,将半导体晶圆W加热到高温,也 能够不产生沉积物(堆积物)地进行残留氟除去工序。但是,在大多情况下,不期望将半导体晶圆W加热到高温。 
在上述等离子体处理装置200的残留氟除去工序结束时,利用真空输送机构302将半导体晶圆W从等离子体处理装置200搬出,经由真空输送室301搬入到加载互锁真空室303内。然后,利用输送机构304将半导体晶圆W经由该加载互锁真空室303搬出到大气中,收容在载置于加载部307上的前开式晶圆传送盒306内。 
作为实施例,在利用气体处理装置100进行了副生成物除去工序之后,利用等离子体处理装置200进行残留氟除去工序。 
副生成物除去工序的处理条件为: 
压力=1330Pa(10Torr) 
HF气体=2800sccm 
载物台温度=30℃ 
处理时间=60秒。 
另外,残留氟除去工序的处理条件为: 
压力=133Pa(1Torr) 
清洁气体=4体积%H2/Ar=1700sccm+CH4(5sccm) 
高频电力=200W(27MHz) 
载物台温度=80℃ 
处理时间=10分钟。 
在本实施例中,残留氟除去工序之前的氟残留量为5.7×1013atoms/cm2,而残留氟除去工序之后为2.9×1012atoms/cm2,用电子显微镜观察图案,也没有看到因硅层的蚀刻导致的损伤。 
作为比较例,在利用未添加CH4的处理气体进行了残留氟除去工序,使高频电力为50W时,没有看到图案因硅层的蚀刻 导致的损伤。另外,在使高频电力为25W时,虽然不存在图案因硅层的蚀刻导致的损伤,但残留氟除去工序之后的残留氟量为9.1×1012atoms/cm2,氟的除去效果与实施例相比明显变差。另外,其他的条件与上述实施例的情况相同。将该实施例、比较例及残留氟除去工序之前(仅是副生成物除去工序)的残留氟的测定结果表示于将纵轴作为氟量的图4的柱状图中。 
如上所述,在实施例中,利用等离子体蚀刻形成包含硅层的暴露部的图案时,不对图案产生损伤就能够除去副生成物和除去残留氟。 
另外,不言而喻,本发明并不限定于上述实施方式和实施例,能够进行各种变形。例如,残留氟除去工序所使用的等离子体处理装置也可以不利用电感耦合型的远程等离子体,而采用例如平行平板型的电容耦合型的等离子体处理装置。在这种情况下,例如也可以仅对上部电极供给等离子体生成用的高频电力,使等离子体作用于载置在下部电极上的半导体晶圆。另外,残留氟除去工序所使用的、作为构成元素含有碳和氢的化合物的气体并不限定于CH4气体,例如也可以采用CH3OH气体等。 
副生成物除去工序中的半导体晶圆W的温度例如为几十度(例如20~40℃),压力例如为几十Pa~几千Pa(例如几百mTorr~几十Torr),处理气体流量例如为几百sccm~一千几百sccm左右,处理时间例如为几十秒~几分钟左右。利用该副生成物除去工序,能够除去在等离子体蚀刻工序中产生的、以Si0为主要成分的副生成物。但是,在实施该副生成物除去工序之后,由于使用了H F气体,因此成为在半导体晶圆W上残留有氟的状态。这样,在半导体晶圆W上残留有氟的状态下长时间放置时,残留氟和硅发生反应,图案产生缺陷。 
在气体处理装置100的副生成物除去工序结束时,利用真空输送机构302将半导体晶圆W从气体处理装置100搬出,经由真空输送室301搬入到等离子体处理装置200的处理室201内。即,在打开设置于真空输送室301和等离子体处理装置200(处理室201)之间的未图示的开闭机构的状态下,将半导体晶圆W载置在图2所示的处理室201内的载物台202上。然后,利用等离子体处理装置200如下所述那样进行残留氟除去工序。 
在该残留氟除去工序中,在真空输送机构302的输送臂从处理室201内退避之后,关闭未图示的开闭机构。然后,通过将半导体晶圆W载置在预先设定为规定温度的载物台202上来将半导体晶圆W维持在规定温度,在该状态下,从气体导入部205导入规定的清洁气体(本实施方式中是H2+CH4+Ar),并且从排气管207排气,使处理室201内维持在规定的压力。 
与此同时,通过对RF线圈204施加高频电力,产生清洁气体的等离子体P。该等离子体P被气体扩散板206维持在气体扩 散板206和窗203之间的空间中,但从等离子体P中引出的自由基作用于半导体晶圆W,残留于半导体晶圆W上的氟例如与H2发生反应成为HF而被除去。 
此时,在像以往那样仅采用H 2的等离子体等时,形成于半导体晶圆W表面上的图案中的、露出的硅层的部分被蚀刻,图案受到损伤。图6示意性地表示硅层的部分被蚀刻、图案受到损伤的例子,如该图所示,硅层的暴露部分产生缺口等损伤。 
另一方面,在本实施方式中,由于在清洁气体中包含作为构成元素含有碳和氢的化合物、即CH 4气体,因此,能够抑制该硅层的部分被蚀刻,从而能够抑制形成于半导体晶圆W上的图案受到损伤。其原因推断为,在硅层的暴露部分的表面形成有SiC,SiC起到保护层的作用。这一点通过如下所示的测定结果能够证明。 
图5是将纵轴作为强度、横轴作为结合能来表示利用XPS(X射线光电子分光)测定仅进行了副生成物除去工序之后的半导体晶圆W(实线A)和在副生成物除去工序之后进行了上述残留氟除去工序的半导体晶圆W(虚线B)的结果的坐标图。在该图中,在实线A、虚线B中共同地出现的较高的峰值是表示硅和硅的结合能的峰值。而且,在曲线B中,结合能比该峰值高的一侧的类似山峰的山脚部分(表示Si和C的结合能)的强度较高,形成有SiC。这样在硅的表面形成有SiC的情况下,也能够利用氧进行灰化,使SiC形成为SiO而过渡到下一工序。 
另外,在上述残留氟除去工序中,由于存在CH4,因此氟能够作为CHF3等气体被除去,因此,也能够提高氟的除去效率。另外,由于这样地CH 4具有氟的除去效果,因此,在可以将半导体晶圆W加热到高温的情况下,通过不添加H2而仅采用CH4和Ar等稀有气体的清洁气体,将半导体晶圆W加热到高温, 也能够不产生沉积物(堆积物)地进行残留氟除去工序。但是,在大多情况下,不期望将半导体晶圆W加热到高温。 
在上述等离子体处理装置200的残留氟除去工序结束时,利用真空输送机构302将半导体晶圆W从等离子体处理装置200搬出,经由真空输送室301搬入到加载互锁真空室303内。然后,利用输送机构304将半导体晶圆W经由该加载互锁真空室303搬出到大气中,收容在载置于加载部307上的前开式晶圆传送盒306内。 
作为实施例,在利用气体处理装置100进行了副生成物除去工序之后,利用等离子体处理装置200进行残留氟除去工序。 
副生成物除去工序的处理条件为: 
压力=1330Pa(10Torr) 
HF气体=2800sccm 
载物台温度=30℃ 
处理时间=60秒。 
另外,残留氟除去工序的处理条件为: 
压力=133Pa(1Torr) 
清洁气体=4体积%H2/Ar=1700sccm+CH4(5sccm) 
高频电力=200W(27MHz) 
载物台温度=80℃ 
处理时间=10分钟。 
在本实施例中,残留氟除去工序之前的氟残留量为5.7×1013atoms/cm2,而残留氟除去工序之后为2.9×1012atoms/cm2,用电子显微镜观察图案,也没有看到因硅层的蚀刻导致的损伤。 
作为比较例,在利用未添加CH4的处理气体进行了残留氟除去工序,使高频电力为50W时,没有看到图案因硅层的蚀刻 导致的损伤。另外,在使高频电力为25W时,虽然不存在图案因硅层的蚀刻导致的损伤,但残留氟除去工序之后的残留氟量为9.1×1012atoms/cm2,氟的除去效果与实施例相比明显变差。另外,其他的条件与上述实施例的情况相同。将该实施例、比较例及残留氟除去工序之前(仅是副生成物除去工序)的残留氟的测定结果表示于将纵轴作为氟量的图4的柱状图中。 
如上所述,在实施例中,利用等离子体蚀刻形成包含硅层的暴露部的图案时,不对图案产生损伤就能够除去副生成物和除去残留氟。 
另外,不言而喻,本发明并不限定于上述实施方式和实施例,能够进行各种变形。例如,残留氟除去工序所使用的等离子体处理装置也可以不利用电感耦合型的远程等离子体,而采用例如平行平板型的电容耦合型的等离子体处理装置。在这种情况下,例如也可以仅对上部电极供给等离子体生成用的高频电力,使等离子体作用于载置在下部电极上的半导体晶圆。另外,残留氟除去工序所使用的、作为构成元素含有碳和氢的化合物的气体并不限定于CH4气体,例如也可以采用CH3OH气体等。 

Claims (5)

1.一种基板清洁方法,该基板清洁方法在利用等离子体蚀刻形成基板上的图案之后清洁上述基板的表面,其特征在于,
该基板清洁方法包括:
副生成物除去工序,在该工序中,将上述基板暴露于HF气体气氛中而除去副生成物;
残留氟除去工序,在该工序中,将清洁气体等离子化并使其作用于上述基板,除去残留于该基板上的氟,上述清洁气体包含氢气及作为构成元素含有碳和氢的化合物的气体,
上述作为构成元素含有碳和氢的化合物的气体是CH4气体或CH3OH气体,
上述清洁气体还包含稀有气体,
上述稀有气体是Ar气体,
上述清洁气体含有4体积%以下的上述氢气。
2.根据权利要求1所述的基板清洁方法,其特征在于,
上述基板上的图案是包含硅层的暴露部的图案。
3.根据权利要求2所述的基板清洁方法,其特征在于,
在上述残留氟除去工序中,在上述硅层的暴露部表面形成由SiC构成的层。
4.一种基板清洁装置,该基板清洁装置在利用等离子体蚀刻形成基板上的图案之后清洁上述基板的表面,其特征在于,
该基板清洁装置包括:
副生成物除去部件,其将上述基板暴露于HF气体气氛中而除去副生成物;
残留氟除去部件,其将清洁气体等离子化并使其作用于上述基板,除去残留于该基板上的氟,上述清洁气体包含氢气及作为构成元素含有碳和氢的化合物的气体,
上述作为构成元素含有碳和氢的化合物的气体是CH4气体或CH3OH气体,
上述清洁气体还包含稀有气体,
上述稀有气体是Ar气体,
上述清洁气体含有4体积%以下的上述氢气。
5.根据权利要求4所述的基板清洁装置,其特征在于,
上述基板上的图案是包含硅层的暴露部的图案。
CN2011100206392A 2010-01-08 2011-01-07 基板清洁方法及基板清洁装置 Expired - Fee Related CN102148153B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010002720A JP5492574B2 (ja) 2010-01-08 2010-01-08 基板のクリーニング方法及び基板のクリーニング装置
JP2010-002720 2010-01-08

Publications (2)

Publication Number Publication Date
CN102148153A CN102148153A (zh) 2011-08-10
CN102148153B true CN102148153B (zh) 2013-04-17

Family

ID=44257560

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011100206392A Expired - Fee Related CN102148153B (zh) 2010-01-08 2011-01-07 基板清洁方法及基板清洁装置

Country Status (5)

Country Link
US (1) US20110168205A1 (zh)
JP (1) JP5492574B2 (zh)
KR (1) KR101773806B1 (zh)
CN (1) CN102148153B (zh)
TW (1) TWI521591B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB201318463D0 (en) * 2013-08-13 2013-12-04 Medical Res Council Graphene Modification
US10236186B2 (en) * 2014-08-05 2019-03-19 Tokyo Electron Limited Methods for dry hard mask removal on a microelectronic substrate
WO2016025462A1 (en) * 2014-08-12 2016-02-18 Tokyo Electron Limited Substrate processing method
WO2016118088A1 (en) * 2015-01-22 2016-07-28 Chan Chia Sern Non-thermal soft plasma cleaning
US9601319B1 (en) * 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
JP6854611B2 (ja) * 2016-01-13 2021-04-07 東京エレクトロン株式会社 基板処理方法、基板処理装置及び基板処理システム
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
JP6845773B2 (ja) * 2017-09-15 2021-03-24 株式会社日立ハイテク プラズマ処理方法
US11209877B2 (en) * 2018-03-16 2021-12-28 Semiconductor Energy Laboratory Co., Ltd. Electrical module, display panel, display device, input/output device, data processing device, and method of manufacturing electrical module
US10872761B2 (en) * 2018-06-25 2020-12-22 Mattson Technology Inc. Post etch defluorination process
WO2020046547A1 (en) * 2018-08-31 2020-03-05 Mattson Technology, Inc. Oxide removal from titanium nitride surfaces
JP7345334B2 (ja) * 2019-09-18 2023-09-15 東京エレクトロン株式会社 エッチング方法及び基板処理システム

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JP3263132B2 (ja) * 1992-07-09 2002-03-04 株式会社東芝 半導体装置の製造方法
JPH0684852A (ja) * 1992-09-02 1994-03-25 Fujitsu Ltd 半導体装置の製造方法
JPH08264507A (ja) * 1995-03-20 1996-10-11 Matsushita Electron Corp シリコンのエッチング方法
JP3176857B2 (ja) * 1996-12-04 2001-06-18 芝浦メカトロニクス株式会社 半導体装置の製造方法
JPH1197414A (ja) * 1997-09-25 1999-04-09 Sony Corp 酸化シリコン系絶縁膜のプラズマエッチング方法
US6432830B1 (en) * 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6599829B2 (en) 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
JP4590700B2 (ja) * 2000-07-14 2010-12-01 ソニー株式会社 基板洗浄方法及び基板洗浄装置
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
JP3997859B2 (ja) * 2002-07-25 2007-10-24 株式会社日立製作所 半導体装置の製造方法および製造装置
KR100931856B1 (ko) * 2007-08-24 2009-12-15 세메스 주식회사 기판 세정 장치 및 기판 세정 방법
JP2009088244A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 基板クリーニング装置、基板処理装置、基板クリーニング方法、基板処理方法及び記憶媒体
JP5270183B2 (ja) * 2008-02-12 2013-08-21 大日本スクリーン製造株式会社 ポリマー除去方法およびポリマー除去装置

Also Published As

Publication number Publication date
TW201142942A (en) 2011-12-01
CN102148153A (zh) 2011-08-10
TWI521591B (zh) 2016-02-11
JP5492574B2 (ja) 2014-05-14
KR101773806B1 (ko) 2017-09-01
US20110168205A1 (en) 2011-07-14
JP2011142248A (ja) 2011-07-21
KR20110081765A (ko) 2011-07-14

Similar Documents

Publication Publication Date Title
CN102148153B (zh) 基板清洁方法及基板清洁装置
KR100322545B1 (ko) 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
TWI667697B (zh) Substrate processing system and substrate processing method
US5399237A (en) Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US7585777B1 (en) Photoresist strip method for low-k dielectrics
US6346490B1 (en) Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
TW201719844A (zh) 用於預清洗導電互連結構之方法
TW201137970A (en) Low damage photoresist strip method for low-k dielectrics
CN109690735B (zh) 用于高纵横比结构的剥离方法
JP2008512854A (ja) 基板上のフォトレジストを除去する方法
JP2008218959A (ja) エッチング方法および記憶媒体
WO2012153534A1 (ja) 堆積物除去方法
TWI684201B (zh) 被處理體之處理方法
TWI398920B (zh) Surface treatment of substrates
CN112470258A (zh) 用于纳米线的选择性蚀刻
US8541307B2 (en) Treatment method for reducing particles in dual damascene silicon nitride process
TWI237319B (en) Process of dual damascene or damascene
US7055532B2 (en) Method to remove fluorine residue from bond pads
US20080102553A1 (en) Stabilizing an opened carbon hardmask
CN112335028A (zh) 用于处理晶片的方法和装置
KR20010004746A (ko) 반도체 소자의 비아홀 형성 방법
US6403489B1 (en) Method for removing polymer stacked on a lower electrode within an etching reaction chamber
JP2000082681A (ja) 半導体装置の製造方法
KR20190097560A (ko) 실리콘의 원자층 에칭 방법
KR100329787B1 (ko) 반도체 소자의 감광막 제거방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130417

Termination date: 20200107

CF01 Termination of patent right due to non-payment of annual fee