KR101709395B1 - 반도체 디바이스 및 반도체 디바이스 제조 방법 - Google Patents

반도체 디바이스 및 반도체 디바이스 제조 방법 Download PDF

Info

Publication number
KR101709395B1
KR101709395B1 KR1020150061146A KR20150061146A KR101709395B1 KR 101709395 B1 KR101709395 B1 KR 101709395B1 KR 1020150061146 A KR1020150061146 A KR 1020150061146A KR 20150061146 A KR20150061146 A KR 20150061146A KR 101709395 B1 KR101709395 B1 KR 101709395B1
Authority
KR
South Korea
Prior art keywords
electrode
layer
gate
plug
dummy electrode
Prior art date
Application number
KR1020150061146A
Other languages
English (en)
Other versions
KR20160094244A (ko
Inventor
체-쳉 창
치-한 린
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160094244A publication Critical patent/KR20160094244A/ko
Application granted granted Critical
Publication of KR101709395B1 publication Critical patent/KR101709395B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13067FinFET, source/drain region shapes fins on the silicon surface

Abstract

반도체 디바이스는 제 1 Fin FET 트랜지스터, 제 2 Fin FET 트랜지스터 및 절연 물질로 만들어지고 제 1 Fin FET 트랜지스터 및 제 2 Fin FET 트랜지스터 사이에 배치된 분리 플러그를 포함한다. 제 1 Fin FET 트랜지스터는 제 1 방향으로 연장된 제 1 핀 구조, 제 1 핀 구조 위에 형성된 제 1 게이트 유전체 및 제 1 게이트 유전체 위에 형성되고 제 1 방향과 수직인 제 2 방향으로 연장된 제 1 게이트 전극을 포함한다. 제 2 방향과 나란하고 제 1 게이트 전극, 제 2 게이트 전극 및 분리 플러그를 가로지르는 단면에서, 분리 플러그는 상단 크기가 하단 크기보다 작은 테이퍼링 형상을 가진다.

Description

반도체 디바이스 및 반도체 디바이스 제조 방법{SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF}
본 개시는 반도체 집적회로에 관한 것이고, 특히 핀 구조(fin structure)를 가진 반도체 디바이스 및 반도체 디바이스의 생산 공정에 관한 것이다.
반도체 산업은 고밀도, 고성능 및 저비용을 위하여 나노미터 단위의 공정으로 발전하였기 때문에, 이로 인한 제작 및 설계 관련 문제들은 핀 전계 효과 트랜지스터(fin field effect transistor; Fin FET)와 같은 3차원 설계의 개발을 초래하였다. Fin FET 디바이스는 일반적으로 고 종횡비(aspact ratio)를 가진 반도체 핀들을 포함하고, 반도체 핀 내에는 반도체 트랜지스터 디바이스들의 채널 및 소스/드레인 영역이 형성된다. 핀 구조들의 위 및 측면에 게이트가 형성되어(예컨대, 래핑(wrapping)) 채널 및 소스/드레인의 증가된 표면적의 이점을 활용함으로써, 더 빠르고 안정적이며 제어하기 편리한 반도체 트랜지스터 장치를 생산할 수 있다. 금속 게이트 구조는 높은 유전율을 가진 high-k 게이트 유전체와 함께 Fin FET 디바이스 내에서 종종 사용되고, 게이트 대체 기술에 의하여 제조된다.
본 개시는 첨부된 도면과 하기 상세한 설명으로부터 가장 잘 이해된다. 당해 산업분야 내 표준관행에 부합하는 바와 같이, 다양한 특징들은 실제 축척대로 표시된 것이 아니라 도해로 나타낼 목적으로 나타내었음을 강조한다. 실제로 여러가지 특징부들의 치수는 논의의 명확성을 위하여 임의로 증가되거나 감소될 수 있다.
본 개시의 일 실시예에 따르면, 도 1A는 핀 구조를 가진 반도체 FET 디바이스(Fin FET)의 예시 단면도이고, 도 1B는 핀 구조를 가진 반도체 FET 디바이스의 예시 상면도이고, 도 1C는 핀 구조를 가진 반도체 FET 디바이스의 예시 사시도를 나타내고, 이는 도 1B 내의 폐 부분(enclosed portion)에 대응된다.
도 2 내지 12E는 본 개시의 일 실시예에 따른 Fin FET 디바이스의 제조를 위한 예시적 공정들을 나타낸다.
도 13 내지 18은 본 개시의 다른 실시예에 따른 Fin FET 디바이스의 제조를 위한 예시적 시계열적 공정들의 단면도를 나타낸다.
하기 개시는 본 발명의 상이한 특징부들을 구현하기 위하여 많은 상이한 실시예들 및 예시들을 제공하는 것으로 이해된다. 특정한 실시예들 또는 구성요소 및 배열의 예시들은 본 개시를 단순화하기 위하여 하기에 기술되었다. 따라서 이러한 기재는 예시에 불과할 뿐 발명을 제한하려는 의도가 아니다. 예를 들어, 요소들의 치수는 개시된 범위 및 특정값으로 제한되지 않고, 공정 조건 및/또는 소정의 장치 특성에 의존한다. 게다가, 본 명세서에서 제 2 특징부 위의 제 1 특징부를 형성하는 것은 제 1 특징부 및 제 2 특징부가 직접 접촉하는 실시예들을 포함할 수도 있고, 제 1 특징부 및 제 2 특징부가 직접 접촉하지 않고 그 사이에 추가 특징부들이 개재되어 형성된 실시예들을 포함할 수도 있다. 다양한 특징부들은 단순화 및 명확화를 위하여 임의적으로 다른 비율로 변경될 수 있다.
더욱이 공간의 관계를 나타내는 용어, "아래의", "밑의", "하위의", "위의", "상위의" 등은 도면에 도해된 바와 같이 일 요소 또는 특징부 및 다른 요소 또는 특징부와의 관계를 용이하게 기술하기 위하여 본 명세서에서 사용될 수 있다. 공간의 관계를 나타내는 용어는 도면들에서 묘사된 방향 뿐만 아니라 사용 또는 동작 중에 있는 디바이스의 다른 방향도 포괄하는 것으로 의도된다. 장치는 다른 방향을 향할 수 있고(90도 회전 또는 다른 방향으로), 본 명세서에서 사용된 공간의 관계를 기술하는 용어는 유사한 방식으로 그에 맞춰 해석될 수 있다. 또한, "~으로 만들어진" 이라는 용어는 "~을 포함하는" 또는 "~로 구성된" 을 의미할 수 있다.
도 1A는 핀 구조를 가진 반도체 FET 디바이스(Fin FET)의 예시 단면도를 나타내고, 도 1B는 핀 구조를 가진 반도체 FET 디바이스의 예시 상면도를 나타내고, 도 1C는 Fin 구조를 가진 반도체 FET 디바이스의 예시 사시도를 나타내는데 이는 본 개시의 일 실시예에 따른 것이다. 도 1A는 도 1B 내의 X-X 선을 따르는 단면도를 나타내고, 도 1C는 도 1B에서 점선으로 둘러쌓인 영역 A에 대응한다. 도면에서 일부 층/특징부는 단순화를 위하여 생략되었다. 도 1A 내지 1C는 금속 게이트 구조가 형성된 이후의 디바이스 구조를 나타낸다.
Fin FET 디바이스(1)는 제 1 장치영역(1A)과 제 2 장치영역(1B)을 포함한다. 제 1 장치영역(1A)은 하나 이상의 제 1 Fin FET 디바이스를 포함하고 제 2 장치영역은 하나 이상의 제 2 Fin FET 디바이스를 포함한다. 제 1 Fin FET 트랜지스터의 채널 유형과 제 2 Fin FET 트랜지스터의 채널 유형은 같을 수도 있고 다를 수도 있다.
일 실시예에서 제 1 장치영역(1A)은 p형 MOS FET을 포함하고 제 2 장치영역(2B)은 n형 MOS FET을 포함한다. 다른 실시예에서 제 1 장치영역 및 제 2 장치영역이 p형 MOS FET을 포함하거나, 제 1 장치영역 및 제 2 장치영역이 n형 MOS FET을 포함하거나, 제 1 장치영역 및 제 2 장치영역 모두가 p형 및 n형 MOS FET을 포함할 수 있다.
Fin FET 디바이스(1)은 기판(10), 핀 구조(20), 게이트 유전체(30), 게이트 전극(40)을 포함한다. 본 실시예에서 기판(10)은 실리콘 기판이다. 대안으로, 기판(10)은 게르마늄과 같은 다른 원소의 반도체; SiC, SiGe 와 같은 Ⅳ-Ⅳ 화합물 반도체, GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP 및/또는 GaInAsP와 같은 Ⅲ-Ⅴ 화합물 반도체; 또는 이들의 조합을 포함할 수 있다. 일 실시예에서 기판(10)은 SOI(silicon-on insulator) 기판의 실리콘 층이다. SOI 기판이 사용된 경우 핀 구조는 SOI 기판의 실리콘 층으로부터 돌출되거나 SOI 기판의 절연층으로부터 돌출될 수 있다. 핀 구조가 SOI 기판의 절연층으로부터 돌출된 경우 SOI 기판의 실리콘 층은 핀 구조를 형성하기 위해 사용된다. 비정질 Si, 비정질 SiC 와 같은 비정질 기판 또는 실리콘 산화물과 같은 절연체가 기판(10)으로 사용될 수 있다. 기판(10)은 적절하게 불순물(예컨대 p형 또는 n형 전도성)이 도핑된 다양한 영역을 포함할 수 있다.
핀 구조(20)은 기판(10) 위에 배치된다. 핀 구조(20)은 기판(10)과 동일한 물질로 이루어질 수 있고 기판(10)으로부터 연속적으로 연장될 수 있다. 본 실시예에서 핀 구조는 Si로 이루어진다. 핀 구조(20)의 실리콘층은 진성(intrinsic)이거나 n형 또는 p형 불순물이 적절하게 도핑될 수 있다.
도 1A 에서 제 1 장치영역(1A)과 제 2 장치영역(1B)에 두 개의 핀 구조(20)가 각각 배치된다. 그러나 핀 구조의 개수는 2개(또는 4개)로 한정되지 않는다. 핀 구조의 개수는 1개, 2개, 3개 또는 5개 이상이 될 수 있다. 또한, 하나 이상의 더미 핀 구조가 핀 구조(20)의 양쪽 면에 인접하여 배치되어 패턴화 공정에서 패턴 정확도를 향상시킬 수 있다. 핀 구조(20)의 폭 W1은 일 실시예에서 약 5nm 부터 약 40nm까지의 범위를 가지고 다른 실시예에서는 약 7nm 부터 약 15nm까지의 범위를 가질 수도 있다. 핀 구조(20)의 높이는 일 실시예에서 약 100nm에서 약 300nm까지의 범위를 가지고 다른 실시예에서는 약 50nm에서 약 100nm까지의 범위를 가질 수도 있다.
게이트 전극(40)의 아래 위치한 핀 구조(20)의 하위 부분은 우물층이라고 불리고 핀 구조(20)의 상위 부분은 채널층이라고 불린다. 게이트 전극(40) 아래의 우물층은 분리 절연층(50)내에 매립되어 있고, 채널층은 분리 절연층(50)으로부터 돌출되어 있다. 또한 채널층의 하위 부분은 분리 절연층(50)의 약 1nm에서 약 5nm 깊이로 매립될 수 있다.
우물층의 높이는 일부 실시예에서 약 60nm에서 100nm의 범위를 가지고 채널층의 높이는 약 40nm에서 60nm의 범위를 가진다.
더욱이 핀 구조(20) 사이의 공간 및/또는 기판(10) 위에 형성된 하나의 핀 구조와 다른 요소 사이의 공간은 절연 물질을 포함하는 분리 절연층(50)(또는 shallow-trench-isolation(STI) 층으로 불림)으로 채워져 있고 층간 유전체 층(70)은 분리 절연층(50) 위에 배치되어 있다. 분리 절연층(50)과 층간 유전체 층(70)의 절연물질은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물(SiON), SiOCN, 붕소 도핑된 규산염 유리(fluoride-doped silicate glass, FSG) 또는 low-K 유전 물질을 포함할 수 있다. 분리 절연층(50)의 절연 물질은 층간 유전체층(70)의 절연 물질과 동일할 수도 있고 다를 수도 있다.
분리 절연층(50)으로부터 돌출된 핀 구조(20)의 채널층은 게이트 유전체층(30)으로 덮여있고 게이트 유전체층(30)은 게이트 전극(40)으로 덮여있다. 게이트 전극(40)으로 덮여있지 않은 채널층 부분은 MOS FET의 소스 및/또는 드레인의 역할을 한다(도 1B 참조). 핀 구조(20)는 제 1 방향으로 연장하고 게이트 전극(40)은 제 2 방향으로 연장하는데, 제 1 방향과 제 2 방향은 수직이다.
특정 실시예에서 게이트 유전체층(30)은 실리콘 산화물, 실리콘 질화물, high-K 유전물질, 다른 적절한 유전물질 및/또는 이들의 조합과 같은 유전물질을 포함한다. high-K 유전물질의 예시로 HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, 지르코늄 산화물, 알루미늄 산화물, 티타늄 산화물, 하프늄 이산화물-알루미나(HfO2-Al2O3) 합금, 다른 적합한 high-K 유전물질 및/또는 이들의 조합이 있다.
게이트 전극(40)은 폴리실리콘, 알루미늄, 구리, 티타늄, 탄탈룸, 텅스텐, 코발트, 몰리브덴, 탄탈룸 질화물, 니켈 실리사이드, 코발트 실리사이드, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, 금속 합금들, 다른 적절한 물질 및/또는 이들의 조합과 같은 임의의 적절한 물질을 포함한다. 특정 실시예에서 게이트 전극은 금속 게이트층(45)을 포함한다.
본 개시의 특정 실시예에서 하나 이상의 일함수 조정층(42)이 게이트 유전체층(30)과 금속 게이트층(45) 사이에 위치할 수 있다. 일함수 조정층은 단층 또는 다른 대안으로 장치 성능향상을 위해 선택된 일함수를 가지는 금속층(일함수 금속층), 라이너층, 습윤층, 접착층, 금속 합금 또는 금속 실리사이드를 다양하게 조합한 것과 같은 다층 구조를 포함할 수 있다. 일함수 조정층은 전도성 물질로 이루어지는데, 전도성 물질은 Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, Al, WN, Cu, W, Re, Ir, Co, Ni, 다른 적절한 금속물질로 이루어진 단층 또는 이러한 물질들의 둘 이상으로 이루어진 다층 구조를 가진다. 일부 실시예들에서 일함수 조정층은 p채널 Fin FET(예컨대 제 1 장치영역 1A 내)을 위한 제 1 금속물질과 n채널 Fin FET(예컨대 제 2 장치영역 1B 내)을 위한 제 2 금속물질을 포함할 수 있다. 예를 들어 n채널 Fin FET을 위한 제 1 금속물질은 실질적으로 기판 전도대의 일함수에 맞춰 조정되거나 적어도 실질적으로 핀 구조(20)의 채널층 전도대의 일함수에 맞춰 조정된 일함수를 갖는 금속을 포함할 수 있다. 마찬가지로, 예컨대 p채널 Fin FET을 위한 제 2 금속물질은 실질적으로 기판의 원자가 전자대(valence band)의 일함수에 맞춰 조정되거나 적어도 실질적으로 핀 구조(20)의 채널층 원자가 전자대의 일함수에 맞춰 조정된 일함수를 갖는 금속을 포함할 수 있다. 일부 실시예들에서 일함수 조정층은 폴리 실리콘층을 교대로 포함할 수도 있다. ALD, PVD, CVD, e-beam evaporation 또는 다른 적절한 공정에 의하여 일함수 조정층이 형성될 수 있다. 더욱이, 상이한 금속층들을 이용할 수 있는 n채널 Fin FET과 p채널 Fin FET을 위하여 일함수 조정층이 개별적으로 형성될 수 있다.
소스 영역과 드레인 영역은 게이트 전극(40)으로 덮여있지 않은 핀 구조 내에 형성되고, 이는 소스 영역 및 드레인 영역에 적절하게 불순물을 첨가함으로써 형성될 수 있다. Si 또는 Ge와 Co, Ni, W, Ti, Ta와 같은 금속의 합금은 소스 및 드레인 영역(25) 위에 형성될 수 있다. Si 및/또는 SiGe 층은 에피택시 방식으로 소스-드레인 영역 내에 형성되는데 이는 융기된 소스-드레인 구조를 형성하고 채널층에 적절한 스트레스를 가하기 위함이다.
더욱이, 측면벽 절연층(80)은 게이트 전극(40)의 양쪽 면에 위치한다. 게이트 전극(40)과 소스/드레인 영역은 층간 유전체층(70)에 의해 덮여있고 반도체 장치 완성을 위하여, 필요한 와이어링 및/또는 비아/컨택 홀이 배치된다.
일부 실시예들에서 일함수 조정층(42)과 금속 게이트층(45)을 포함하는 게이트 전극(40)의 폭 W2는 약 20nm에서 40nm의 범위를 가진다. 일부 실시예들에서 복수의 게이트 전극(40)이 폭방향으로 배치된 경우(도 1B), 게이트 전극의 피치(pitch)는 약 60nm에서 100nm의 범위를 가진다.
도 1A 내지 1C에 도시된 바와 같이, 절연 물질로 만들어진 분리 플러그(60)에 의하여 인접한 게이트 전극(40)은 서로 분리된다. 도 1A의 단면도에서 분리 플러그(60)는 윗면 크기(폭)가 좁고 밑면 크기(폭)가 넓은 테이퍼링 형상을 가진다. 어떠한 실시예들에서는 분리 플러그 상단의 폭 W3은 약 20nm보다 작고, 일부 실시예들에서는 약 5nm에서 약 15nm까지의 범위를 가질 수 있다. 어떠한 실시예에서 분리 플러그 밑면의 폭 W4는 35nm보다 작고, 일부 실시예들에서는 약 10nm에서 약 30nm의 범위를 가질 수 있다. 여기서 분리 플러그 상부는 게이트 전극(40)의 상면에 대응하고 분리 플러그(60)의 하부는 게이트 유전체층(30)의 하부 또는 분리 절연층(50)과 층간 유전체층(70) 간의 계면에 대응한다. 분리 플러그(70)의 절연 물질은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물(SiON), SiOCN, 붕소 도핑된 규산염 유리(FSG) 또는 low-K 유전 물질을 포함할 수 있고, 분리 절연층(50) 및/또는 층간 유전체층(70)의 절연 물질과 동일할 수도 있고 다를 수도 있다.
분리 플러그(70)의 절연 물질은 분리 절연층(50) 및/또는 층간 유전체층(70)의 절연물질과 동일할 수도 있고 다를 수도 있다.
본 개시의 일 실시예에 의하면 도 2 내지 12E는 Fin FET 디바이스의 시계열적 제조공정의 예시 단면도를 보여준다. 도 2 내지 12E로 도시된 공정의 이전/중간/이후에 추가 작업이 제공될 수 있고, 하기 기술된 작업 중 일부는 그 방법의 추가 실시예를 위해 교체되거나 제거될 수 있다. 작업/공정의 순서는 교환될 수 있다. 더욱이, 게이트 대체 기술에 의하여 핀 구조 위에 금속 게이트 구조를 제조하기 위한 일반적인 작업들은 U.S. 특허공보 No.2013/0161762에 기재되어 있고 그 모든 내용은 본 명세서에 참조로서 통합된다..
핀 구조를 제작하기 위하여 마스크 층이 기판(10) 위에 형성되어야 하는데 그 방식은 예컨대 열산화 공정 및/또는 화학 기상 증착(chemical vapor deposition, CVD) 공정에 의한다. 예컨대 기판(10)은 불순물 농도가 약 1.12 × 1015 cm-3 에서 약 1.68 × 1015 cm-3 범위인 p형 실리콘 기판이다. 다른 실시예에서 기판(10)은 불순물 농도가 약 0.905 × 1015 cm-3 에서 2.34 × 1015 cm-3 범위인 n형 실리콘 기판이다. 예컨대 어떠한 실시예에서 마스크 층은 패드 산화물 (예컨대 실리콘 산화물) 층과 실리콘 질화물 마스크 층을 포함한다.
패드 산화물 층은 열산화 공정 또는 CVD 공정을 사용하여 형성될 수 있다. 실리콘 질화물 마스크층은 스퍼터링(sputtering) 방식과 같은 물리 기상 증착(physical vapor deposition, PVD), CVD, 플라즈마 강화된 화학 기상 증착(Plasma Enhanced Chemical Vapor Depostion, PECVD), 대기압 화학 기상 증착 (atmospheric pressure chemical vapor deposition, APCVD), 저압 CVD (low-pressure CVD, LPCVD), 고밀도 플라즈마 CVD (high density plasma CVD, HDPCVD), 원자층 증착(atomic layer deposition, ALD) 및/또는 다른 공정에 의하여 형성될 수 있다.
일부 실시예들에서 패드 산화물의 두께는 2nm에서 15nm의 범위를 가지고 실리콘 질화물 마스크층의 두께는 약 2nm에서 약 50nm의 범위를 가진다. 마스크 패턴이 또한 마스크 층 위에 형성된다. 예컨대 마스크 패턴은 포토 리소그래피(photo lithography)에 의해 형성된 포토 레지스트(photo resist) 패턴이다.
마스크 패턴을 에칭 마스크로 사용하여 패드 산화물층(106)과 실리콘 질화물 마스크층(107)의 하드 마스크 패턴(100)이 형성된다. 하드 마스크 패턴의 폭은 일부 실시예들에서 약 5nm에서 약 40nm의 범위를 가진다. 특정 실시예에서 하드 마스크 패턴의 폭은 약 7nm에서 약 12nm의 범위를 가진다.
도 2에 도시된 바와 같이 하드 마스크 패턴을 에칭 마스크로 사용함으로써 기판(10)은 핀 구조(20)로 패터닝되는데 이는 건식 에칭법 및/또는 습식 에칭법을 사용한 트렌치 에칭에 의한다. 핀 구조(20)의 높이는 약 100nm에서 약 300nm의 범위를 가진다. 어떠한 실시예에서는 그 높이가 약 50nm에서 약 100nm의 범위를 가진다. 핀 구조의 높이가 균일하지 않은 경우, 기판으로부터의 높이는 핀 구조의 평균높이에 해당하는 평면으로부터 측정할 수 있다.
본 실시예에서 벌크 실리콘 웨이퍼는 시작 물질로서 사용되고 기판(10)을 구성한다. 그러나 일부 실시예에서 다른 형태의 기판이 기판(10)으로서 사용될 수 있다. 예컨대 실리콘-온-인슐레이터(silicon-on-insulator, SOI) 웨이퍼가 시작 물질로 사용될 수 있고 SOI 웨이퍼의 절연층이 기판(10)을 구성하고 SOI 웨이퍼의 실리콘층이 핀 구조(20)를 위해 사용된다.
도 3에 도시된 바와 같이 분리 절연층(50)은 기판(10) 위에 형성되어 핀 구조(20)를 완전히 덮는다.
분리 절연층(50)은, 예컨대 실리콘 이산화물로 이루어지는데 이는 저압 화학 기상 증착(low pressure chemical vapor deposition, LPCVD), 플라즈마-CVD 또는 유동 CVD(flowable CVD) 방식에 의해 형성된다. 유동 CVD 방식에서는 실리콘 산화물 대신에 유동 유전 물질이 증착된다. 유동 유전 물질은 그 이름이 암시하는 바와 같이 갭(gap) 또는 공간을 고 종횡비(aspect ratio)로 채우기 위해 증착 중에 흐를 수 있다. 일반적으로 증착된 막이 흐를 수 있도록 하기 위하여 실리콘 함유 전구체에 다양한 화학 성질이 추가된다. 어떠한 실시예에서 질소 수소화 결합이 추가된다. 유동 유전 전구체, 특히 유동 실리콘 산화 전구체의 예시로서 실리케이트(silicate), 실록산(siloxane), MSQ(methyl silsesquioxane), HSQ(hydrogen silsesquioxane), MSQ/HSQ, TCPS(perhydrosilazane), PSZ(perhydro-polysilazane), TEOS(tetraethyl orthosilicate) 또는 TSA(trisilylamine)과 같은 실릴-아민(silyl-amine)이 있다. 이러한 유동 실리콘 산화 물질은 다중 작업 공정에서 형성된다. 유동막은 증착된 후 경화 및 열처리(cured and annealed)되어 바람직하지 않은 원소를 제거함으로써 실리콘 산화물을 형성한다. 바람직하지 않은 원소가 제거될 때, 유동막은 밀도가 높아지고 수축한다. 어떠한 실시예에서는 다중 어닐링 공정이 수행된다. 유동막은 예컨대 약 1000°C 에서 약 1200°C의 온도 범위에서 총 30시간 이상의 연장 기간 동안 일회보다 많이 경화 및 어닐링된다. 분리 절연층(50)은 SOG를 사용하여 형성될 수 있다. 어떠한 실시예에서 SiO, SiON, SiOCN 또는 붕소 도핑된 규산염 유리(FSG)가 분리 절연층(50)으로 사용될 수 있다.
분리 절연층(50)을 형성한 후, 평탄화 작업이 수행되어 분리 절연층(50)의 일부분 및 패드 산화물층(106)과 실리콘 질화물 마스크층(107)을 포함하는 마스크층(100)을 제거한다. 그 후 분리 절연층(50)이 추가적으로 제거되어 도 4에 도시된 바와 같이, 이후 채널층이 될 핀 구조(20)의 상부가 노출되도록 한다.
분리 절연층(50)을 형성한 후, 열처리 공정, 예컨대 어닐링 공정이 분리 절연층(50)의 품질 향상을 위하여 수행될 수 있다. 어떠한 실시예에서는 열처리 공정이 약 900°C 에서 약 1050°C의 온도 범위에서 약 1.5초에서 약 10초 동안 N2, Ar 또는 He과 같은 비활성 기체 환경에서 고속 열 어닐링(rapid thermal annealing, RTA)에 의해 수행될 수 있다.
게이트 산화물층(105)과 폴리 실리콘층은 분리 절연층(50)과 노출된 핀 구조(20) 위에 형성되고, 이후, 폴리 실리콘으로 구성된 폴리 게이트층(110)을 얻기 위하여 패터닝 작업이 수행된다. 게이트 산화물층(105)은 CVD, PVD, ALD, 전자빔 증착법(e-beam evaporation) 또는 다른 적절한 공정에 의하여 형성된 실리콘 산화물이 될 수 있다. 폴리 실리콘층의 두께는 약 5nm에서 약 100nm까지의 범위를 가진다.
측면벽 절연층(80)도 폴리 게이트층(110)의 양 측면에 형성된다.
층간 유전체층(70)은 폴리 게이트층(110) 및 측면벽 절연층(80) 사이의 공간내에 그리고 폴리 게이트층(110) 위에 형성된다. 도 5A 내지 5C에 도시된 구조를 얻기 위하여 에치 백 공정(etch back process) 및/또는 화학 기계 연마(chemical mechnical polishing, CMP) 공정과 같은 평탄화 작업이 수행된다. 도 5A는 단면도, 도 5B는 상면도, 도 5C는 투시도인데 각각은 폴리 게이트층(110)과 층간 유전체층(70)이 형성된 이후의 Fin FET 디바이스에 대한 것이다. 도 5A는 도 5B의 X-X 선을 따르는 단면도이고 도 5C는 도 5B의 닫힌 영역 B에 해당한다.
도 5B 및 5C에 도시된 바와 같이, 어떠한 실시예에 있어서 폴리 게이트층(110)은 일 방향으로 일정한 피치를 가진채 연장하는 라인 및 공간 배열로 형성된다. 폴리 게이트층(110)은 일 방향과 수직인 또 다른 방향으로 연장하는 또 다른 라인 및 공간 배열을 포함할 수 있다.
도 6에 도시된 바와 같이, 마스크 패턴(120)은 도 5C에 도시된 구조 위에 형성된다. 마스크 패턴(120)은, 예를 들어 슬릿(125)을 가지는 포토 레지스트층에 의해 형성된다. 어떠한 실시예에서 슬릿(125)의 폭은 약 5nm 내지 약 약 100nm의 범위이다.
도 7에 도시된 바와 같이, 마스크 패턴(120)을 사용하여 폴리 게이트층의 일부가 에칭된다. 도 7 및 이후에서, 에칭된 폴리 게이트 층(110)을 도시하기 위해 층간 유전체층(70)의 일 층(70A)이 생략되었고, 반면 다른 층(70B 및 70C)은 여전히 도시된다. 어떠한 실시예에서 폴리 게이트층의 에칭은 3mTorr 내지 20mTorr의 압력에서 CH4, CF4, CH2F2, CHF3, O2, HBr, Cl2, NF3, N2 및/또는 He를 포함하는 기체를 사용한 플라즈마 에칭에 의해 수행된다.
마스크 패턴(120)(포토 레지스트 패턴)은 애싱 공정 (ashing process) 및/또는 습식 세정 공정에 의하여 제거된다.
도 8은 폴리 게이트층(110)을 분리하는 개구(130)가 형성된 후 도출된 구조를 도시한다. 도 8에서 개구 130의 상부의 형상은 원형이다. 그러나 본 구조의 치수, 마스크 패턴(120)의 패터닝 조건 및/또는 폴리 게이트층(110)을 위한 에칭 조건에 따라 그 형상은 직사각형, 코너가 원형 또는 타원형인 직사각형이 될 수 있다.
개구 130의 단면도는 상부가 넓고 하부가 좁은 테이퍼링 형상을 가진다.
도 8에 도시된 구조 위에 절연 물질이 형성되는데 이는 예컨대 CVD 공정을 사용한 것이고 개구(130)는 절연물질로 채워져 있다. CVD 공정은 LPCVD 공정, 플라즈마 CVD 공정 및/또는 유동 CVD 공정을 포함할 수 있다. 일부 실시예에 따르면, 유동 CVD 공정에서 SiH4, NH3, N2, O2, N2O, Cl2 및/또는 NO2 를 포함하는 기체가 사용될 수 있고, 약 200°C 에서 약 1000°C의 온도 범위에서 증착이 수행된다.
도 9에 도시된 바와 같이 폴리 게이트층 위에 형성된 절연 물질, 측면벽 절연층 및 층간 유전체층의 불필요한 부분이 평탄화 작업에 의해 제거된 후 분리 플러그(60)가 얻어진다. 평탄화 작업은 CMP 및/또는 에치 백 작업을 포함할 수 있다.
분리 플러그(60)를 형성한 후, 건식 에칭 및/또는 습식 에칭에 의하여 폴리 게이트층(110)이 제거된다. 도 10에서 도시된 바와 같이, 폴리 게이트층(110)을 제거함으로써, 분리 플러그(60)가 노출된다. 핀 구조(20)의 상부가 게이트 산화물(105)로 덮여있기 때문에 핀 구조(20)는 폴리 게이트 에칭 공정에서 에칭되지 않는다.
여기서 개구(130)의 단면도가 상부가 넓고 하부가 좁은 형태의 테이퍼링 형상을 가지기 때문에 노출된 분리 플러그(60)는 상부가 넓고 하부가 좁은 형태의 역 테이퍼링 형상을 가진다.
도 11에 도시된 바와 같이 분리 플러그(60)의 단면이 상부가 좁고 하부가 넓은 형태의 테이퍼링 형상을 가지도록 하기 위하여 노출된 분리 플러그(60)에 추가 에칭 공정이 수행된다. 일부 실시예에서, 3mTorr 내지 20mTorr의 압력 하에서 CH4, CF4, CH2F2, CHF3, O2, HBr, Cl2, NF3, N2 및/또는 He를 포함하는 기체를 사용하는 플라즈마 에칭에 의하여 분리 플러그의 추가 에칭이 수행된다. 분리 플러그의 플라즈마 에칭은 등방성(isotropic) 에칭이 따르는 이방성(anisotropic) 에칭을 포함할 수 있다.
도 12A 내지 12E는 추가 에칭 작업의 상세와 변형을 보여준다. 폴리 게이트층(110)이 제거된 후 역 테이퍼링 형상의 분리 플러그(60)가 노출된다. 분리 플러그(60)의 바닥에서 테이퍼 각 θ는 약 80도 에서 87도 범위의 예각(90도 미만)이다.
도 12B에 도시된 바와 같이 추가 에칭 작업을 수행함으로써 분리 플러그의 상부의 폭이 감소하고 테이퍼링 형상의 분리 플러그(60)가 획득된다. 분리 플러그(60) 바닥에서 테이퍼 각 θ는 90도 이상이다. 어떠한 실시예에서 테이퍼각 θ는 약 93도에서 약 100도의 범위를 가진다.
일부 실시예들에서, 도 12C 내지 12D에 도시된 바와 같이, 추가 에칭 작업의 조건들에 따라, 단면 내 분리 플러그(60)의 형상은 원형, 육각형, 배럴 형상(barrel shape)을 가질 수 있다. 도 12C 내지 12D에서, 단면 내 최대폭이 높이 Hb에 위치하는데, Hb는 분리 플러그의 높이 Ha의 3/4 보다 작다. 일부 실시예들에서, Hb가 Ha의 1/2 보다 작다.
분리 플러그(60)의 형상들의 이러한 변형들에서, 노출된 분리 플러그의 상부의 폭이 감소되므로, 개구 영역(OA)이 넓어진다. 넓어진 개구(OA)는 금속 게이트 구조물을 위한 금속 물질로 하여금 폴리 게이트층(110)을 제거함으로써 형성된 공간을 보다 등각으로(conformally) 채울 수 있게 한다.
도 11에서의 작업 후, 폴리 게이트층(110)을 제거함으로써 생성된 분리 플러그 사이의 공간에 금속 게이트 구조물이 형성되어 도 1A 내지 1C에 도시된 구조물을 얻는다.
본 개시의 또 다른 실시예에 따르면, 도 13 내지 18은 Fin FET 디바이스를 생산하는 예시적 시계열적 공정의 단면도를 도시한다. 도 13 내지 18에 도시된 공정들의 이전, 중간 및 이후에 추가 작업이 제공될 수 있고, 하기 기술된 작업의 일부는 방법의 추가 실시예들을 위하여 교체 또는 제거될 수 있음은 물론이다. 공정/작업의 순서는 교환될 수 있다.
도 5A 내지 5C에 도시된 구조물을 형성한 후, 마스크층(200)은 폴리 게이트층(110), 측벽 절연층(80), 층간 절연층(70) 위에 형성된다. 마스크층은 폴리 실리콘에 대해 높은 에칭 선택성을 갖는 물질이다. 어떠한 예시에서, 마스크층(200)은 약 100nm 내지 약 300nm 범위의 두께를 가지는 실리콘 산화물이다. 도 13에 도시된 바와 같이, 마스크층 200 위에, 레지스트 패턴(210)이 개구들을 가지고 형성된다.
도 14에 도시된 바와 같이, 레지스트 패턴(210)을 마스크로 사용함으로써, 마스크층(200)이 패터닝된다.
도 15에 도시된 바와 같이, 패터닝된 마스크층(200)을 에칭 마스크로 사용함으로써, 폴리 게이트층(110)이 패터닝되어 개구(135)가 역 테이퍼링 형상을 가지도록 한다. 개구(135) 내에서 측정된 개구의 바닥에서 테이퍼 각 θ는 90도 이하이고, 일부 실시예들에서 약 80도 내지 약 87도 범위를 가질 수 있다.
개구(135)를 형성하기 위하여 플라즈마 에칭이 사용될 수 있다. 일부 실시예들에서, 약 10Pa 내지 약 100Pa의 압력 하에서, 탄화플루오르(fluorocarbon) 기체, 클로로카본(chlorocarbon) 기체, 클로로플루오르카본(chlorofluorocarbon) 기체 또는 이들의 혼합물이 에칭 기체로 사용될 수 있다.
도 16에 도시된 바와 같이, 도 15에 도시된 구조물 위에 ,예컨대 CVD 공정을 사용하여, 절연 물질이 형성되고, 개구(135)가 절연 물질로 채워진다.
도 17에 도시된 바와 같이, CMP 및/또는 에치백(etch back) 공정을 포함하는 평탄화 작업에 의하여 절연 물질 및 마스크층(200)의 일부가 제거되고, 그 결과 테이퍼링 형상을 가진 분리 플러그(60)를 얻는다.
분리 플러그(60)를 형성한 후, 습식 및/또는 건식 에칭에 의해 폴리 게이트층(110)이 제거된다. 도 18에 도시된 바와 같이, 폴리 게이트층(110)을 제거함으로써 분리 플러그(60)가 노출된다.
도 18에서, 분리 플러그(60)의 바닥에서 테이퍼 각 θ는 90도 이상이다. 일부 실시예들에서, 테이퍼 각 θ는 약 93도 내지 약 100도의 범위에 있다.
도 18에서의 작업 후, 폴리 게이트층(110)을 제거함으로써 생성된 분리 플러그 사이의 공간에 금속 게이트 구조물이 형성되어 도 1A 내지 1C에 도시된 구조를 얻는다.
여기 기술된 다양한 실시예들 또는 예시들은 기존 기술보다 여러 가지 이점을 제공한다. 예를 들어, 분리 플러그가 하부 폭보다 좁은 상부 폭을 가지기 위해 분리 플러그의 상부 폭이 감소되기 때문에, 폴리 게이트층을 제거한 후 개구가 넓어지고, 특히 상부 폭이 넓어진다. 이렇게 넓어진 개구는 금속 게이트 구조물을 위한 금속 물질들로 하여금 폴리 게이트층들을 제거함으로써 형성된 공간을 보다 등각으로 채울 수 있도록 한다.
더욱이, 분리 플러그가 에칭되기 때문에, 전체 분리 플러그의 폭은 작아진다. 따라서 인접한 두 핀 구조들(도 1의 핀 구조(20A 및 20B)) 사이의 거리가 작아진다.
모든 이점이 본 명세서에서 필수적으로 논의된 것은 아니고, 특정 이점이 모든 실시예들 또는 예시들을 위해 요구되는 것도 아니며, 다른 실시예들 또는 예시들은 다른 이점을 가질 수 있다. 본 개시의 한 측면에 따라, 반도체 디바이스는 제 1 Fin FET 트랜지스터, 제 2 Fin FET 트랜지스터 및 제 1 Fin FET 트랜지스터와 제 2 Fin FET 트랜지스터 사이에 배치된 분리 플러그를 포함한다. 제 1 Fin FET 트랜지스터는 제 1 방향으로 연장된 제 1 핀 구조, 제 1 핀 구조 위에 형성된 제 1 게이트 유전체 및 제 1 게이트 유전체 위에 형성되고 제 1 방향과 수직인 제 2 방향으로 연장된 제 1 게이트 전극을 포함한다. 제 2 Fin FET 트랜지스터는 제 2 핀 구조, 제 2 핀 구조 위에 형성된 제 2 게이트 유전체, 제 1 게이트 유전체 위에 형성되고 제 2 방향으로 연장된 제 2 게이트 전극을 포함한다. 분리 플러그는 절연 물질로 만들어진다. 제 2 방향과 나란하고 제 1 게이트 전극, 제 2 게이트 전극 및 분리 플러그를 가로지르는 단면 내에서, 분리 플러그의 최대 폭이 높이 Hb에 위치하고, Hb는 분리 플러그의 높이 Ha의 3/4보다 작다.
본 개시의 다른 측면에 따르면, 반도체 디바이스 제조 방법은 더미 전극층 및 더미 전극층 양 측면에 배치된 층간 유전체층들을 포함하는 더미 전극 구조물을 형성하는 단계를 포함한다. 더미 전극층이 적어도, 개구에 의하여 분리된 제 1 더미 전극과 제 2 더미 전극으로 나누어지도록 더미 전극층을 패턴화된다. 분리 플러그는 개구를 절연 물질로 채움으로써 형성된다. 제 1 전극 공간 및 제 2 전극 공간 형성이 형성되고 제 1 전극 공간 및 제 2 전극 공간 사이에 분리 플러그가 노출되도록 하기 위하여 제 1 더미 전극 및 제 2 더미 전극이 제거된다. 제 1 전극 공간, 제 2 전극 공간 및 분리 플러그를 가로지르는 단면 내에 분리 플러그의 최대 폭이 높이 Hb에 위치하고, Hb는 분리 플러그 높이 Ha의 3/4보다 작도록 하기 위하여 노출된 분리 플러그는 에칭된다. 제 1 게이트 구조물 및 제 2 게이트 구조물은 제 1 전극 공간 및 제 2 전극 공간에 각각 형성된다.
본 개시의 다른 측면에 따르면, 반도체 디바이스 제조 방법은 더미 전극층 및 더미 전극층 양 측면에 배치된 층간 유전체층들을 포함하는 더미 전극 구조물을 형성하는 단계를 포함한다. 더미 전극층이 적어도, 개구에 의하여 분리된 제 1 더미 전극과 제 2 더미 전극으로 나누어지도록 더미 전극층을 패턴화된다. 분리 플러그는 개구를 절연 물질로 채움으로써 형성된다. 제 1 전극 공간 및 제 2 전극 공간 형성이 형성되고 제 1 전극 공간 및 제 2 전극 공간 사이에 분리 플러그가 노출되도록 하기 위하여 제 1 더미 전극 및 제 2 더미 전극이 제거된다. 제 1 게이트 구조 및 제 2 게이트 구조는 제 1 전극 공간 및 제 2 전극 공간 각각에 형성된다. 분리 플러그를 형성하기 전의 개구의 형상은 개구의 상단 크기(폭)가 개구의 하단 크기(폭) 보다 작은 테이퍼링 형상을 가진다.
앞서 서술한 내용은 당업자가 본 개시의 측면들을 잘 이해할 수 있도록 하기 위하여 여러 가지 실시예들 또는 예시들의 특징들의 개요를 서술한다. 당업자라면 본 명세서에서 소개된 실시예들 또는 예시들과 동일한 목적을 수행하고 동일한 이점을 달성하기 위한 다른 공정 및 구조를 설계 또는 변경하기 위한 기초로서 본 개시를 쉽게 사용할 수 있음은 물론이다. 또한 당업자라면 이러한 균등한 구성은 본 개시의 사상 및 범위로부터 벗어나지 않으며 본 개시의 사상 및 범위로부터 벗어남이 없이 다양한 변화, 대체 및 교체를 만들어 낼 수 있음을 인식하여야 한다.

Claims (10)

  1. 반도체 디바이스에 있어서,
    제 1 방향으로 연장하는 제 1 핀 구조, 상기 제 1 핀 구조 위에 형성된 제 1 게이트 유전체, 및 상기 제 1 게이트 유전체 위에 형성되고 상기 제 1 방향과 수직인 제 2 방향으로 연장하는 제 1 게이트 전극을 포함하는 제 1 Fin FET 트랜지스터;
    제 2 핀 구조, 상기 제 2 핀 구조 위에 형성된 제 2 게이트 유전체, 및 상기 제 1 게이트 유전체 위에 형성되고 상기 제 2 방향으로 연장하는 제 2 게이트 전극을 포함하는 제 2 Fin FET 트랜지스터; 및
    절연 물질로 만들어지고 상기 제 1 Fin FET 트랜지스터와 상기 제 2 Fin FET 트랜지스터 사이에 배치된 분리 플러그
    를 포함하고,
    상기 제 1 게이트 전극과 상기 제 2 게이트 전극은 상기 분리 플러그에 의해 분리되고,
    상기 제 2 방향과 나란하고 상기 제 1 게이트 전극, 상기 제 2 게이트 전극, 및 상기 분리 플러그를 가로지르는 단면에서 상기 분리 플러그의 최대폭이 높이 Hb에 위치하고, 상기 높이 Hb는 상기 분리 플러그의 높이 Ha의 3/4보다 작은 것인, 반도체 디바이스
  2. 제 1 항에 있어서,
    상기 단면에서 상기 분리 플러그는 상단 폭이 하단 폭보다 좁은 형태의 점점 가늘어지는 형상(tapered shape)을 가지는 것인, 반도체 디바이스
  3. 제 2 항에 있어서,
    상기 분리 플러그의 바닥에서 상기 분리 플러그의 테이퍼 각이 90도 이상인 것인, 반도체 디바이스
  4. 제 1 항에 있어서,
    상기 제 1 게이트 전극은 금속들과 제 1 금속 게이트 물질을 조정하는 하나 이상의 제 1 일함수 층을 포함하고,
    상기 제 2 게이트 전극은 금속들과 제 2 금속 게이트 물질을 조정하는 하나 이상의 제 2 일함수 층을 포함하는 것인, 반도체 디바이스
  5. 제 1 항에 있어서,
    i) 상기 제 1 Fin FET 트랜지스터와 상기 제 2 Fin FET 트랜지스터는 동일한 채널 타입을 갖거나, 또는 ii) 상기 제 1 Fin FET 트랜지스터의 채널 타입이 상기 제 2 Fin FET 트랜지스터의 채널 타입과 상이한 것인, 반도체 디바이스
  6. 반도체 디바이스를 제조하는 방법에 있어서,
    더미(dummy) 전극층과 상기 더미 전극층 양 측면에 배치된 층간 유전체 층을 포함하는 더미 전극 구조물을 형성하는 단계;
    상기 더미 전극층이 적어도, 개구에 의하여 분리된 제 1 더미 전극과 제 2 더미 전극으로 나누어지도록 상기 더미 전극층을 패턴화(patterning)하는 단계;
    상기 개구를 절연 물질로 채움으로써 분리 플러그를 형성하는 단계;
    제 1 전극 공간 및 제 2 전극 공간이 형성되고 상기 분리 플러그가 상기 제 1 전극 공간과 상기 제 2 전극 공간 사이에 노출되도록 하기 위해 상기 제 1 더미 전극 및 상기 제 2 더미 전극을 제거하는 단계;
    상기 노출된 분리 플러그를 에칭하는 단계; 및
    상기 제 1 전극 공간 및 상기 제 2 전극 공간 내에 제 1 게이트 구조 및 제 2 게이트 구조를 각각 형성하는 단계
    를 포함하고,
    상기 제 1 게이트 구조와 상기 제 2 게이트 구조는 상기 분리 플러그에 의해 분리되고,
    상기 노출된 분리 플러그를 에칭한 후, 상기 제 1 전극 공간, 상기 제 2 전극 공간, 및 상기 분리 플러그를 가로지르는 단면 내에서, 상기 분리 플러그의 최대폭이 높이 Hb에 위치하고, 상기 Hb는 상기 분리 플러그 높이 Ha의 3/4보다 작은 것인, 반도체 디바이스 제조 방법.
  7. 제 6 항에 있어서,
    상기 분리 플러그를 형성하는 단계는,
    상기 패턴화된 더미 전극 위 및 상기 개구 내에 상기 절연 물질을 형성하는 단계; 및
    상기 절연 물질의 일부를 제거함으로써 상기 개구 내에 채워진 상기 절연 물질의 상기 분리 플러그를 형성하는 단계를 포함하는 반도체 디바이스 제조 방법.
  8. 제 6 항에 있어서,
    상기 더미 전극 구조물을 형성하는 단계는,
    핀 구조를 형성하는 단계;
    상기 핀 구조의 하부가 분리층에 매립되도록 상기 분리층을 형성하는 단계;
    상기 핀 구조 위에 제 1 유전체층을 형성하는 단계; 및
    상기 제 1 유전체층 위에 상기 더미 전극층을 형성하는 단계를 포함하는 반도체 디바이스 제조 방법.
  9. 반도체 디바이스를 제조하는 방법에 있어서,
    더미 전극층과 상기 더미 전극층 양 측면에 배치된 층간 유전체층을 포함하는 더미 전극 구조물을 형성하는 단계;
    상기 더미 전극층이 적어도, 개구에 의하여 분리된 제 1 더미 전극과 제 2 더미 전극으로 나누어지도록 상기 더미 전극층을 패턴화하는 단계;
    상기 개구를 절연 물질로 채움으로써 분리 플러그를 형성하는 단계;
    제 1 전극 공간 및 제 2 전극 공간이 형성되고 상기 분리 플러그가 상기 제 1 전극 공간 및 상기 제 2 전극 공간 사이에 노출되도록 하기 위하여 제 1 더미 전극 및 제 2 더미 전극을 제거하는 단계; 및
    상기 제 1 전극 공간 및 상기 제 2 전극 공간 내에 제 1 게이트 구조 및 제 2 게이트 구조를 각각 형성하는 단계
    를 포함하고,
    상기 제 1 게이트 구조와 상기 제 2 게이트 구조는 상기 분리 플러그에 의해 분리되고,
    상기 분리 플러그를 형성하기 전 상기 개구는 상단 폭이 하단 폭보다 작은 형태의 점점 가늘어지는 형상을 가진 반도체 디바이스 제조 방법.
  10. 제 9 항에 있어서,
    상기 더미 전극층을 패턴화하는 단계는,
    상기 더미 전극 구조물 위에 실리콘 산화물층을 형성하는 단계;
    상기 실리콘 산화물층을 패턴화하는 단계; 및
    상기 패턴화된 산화물층을 마스크로 이용함으로써 상기 더미 전극층을 에칭하는 단계를 포함하는 반도체 디바이스 제조 방법.
KR1020150061146A 2015-01-30 2015-04-30 반도체 디바이스 및 반도체 디바이스 제조 방법 KR101709395B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/611,159 US9331074B1 (en) 2015-01-30 2015-01-30 Semiconductor device and manufacturing method thereof
US14/611,159 2015-01-30

Publications (2)

Publication Number Publication Date
KR20160094244A KR20160094244A (ko) 2016-08-09
KR101709395B1 true KR101709395B1 (ko) 2017-02-22

Family

ID=55807607

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150061146A KR101709395B1 (ko) 2015-01-30 2015-04-30 반도체 디바이스 및 반도체 디바이스 제조 방법

Country Status (4)

Country Link
US (3) US9331074B1 (ko)
KR (1) KR101709395B1 (ko)
CN (1) CN105845578B (ko)
TW (1) TWI572035B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210143636A (ko) * 2020-05-20 2021-11-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 게이트 공정 윈도우를 확대하기 위한 더미 핀 프로파일 제어

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9530654B2 (en) * 2013-04-15 2016-12-27 Globalfoundaries Inc. FINFET fin height control
CN105189821B (zh) * 2013-04-18 2018-01-26 崔波 纳米级结构的制造方法及使用该方法制造的纳米级结构
US9331074B1 (en) * 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10269802B2 (en) * 2015-05-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN108028268B (zh) * 2015-08-07 2021-01-01 东京毅力科创株式会社 没有伪栅极的图案化方法
US10177240B2 (en) * 2015-09-18 2019-01-08 International Business Machines Corporation FinFET device formed by a replacement metal-gate method including a gate cut-last step
US9601567B1 (en) * 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
US9659930B1 (en) 2015-11-04 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
EP3182461B1 (en) * 2015-12-16 2022-08-03 IMEC vzw Method for fabricating finfet technology with locally higher fin-to-fin pitch
US9472447B1 (en) * 2015-12-17 2016-10-18 International Business Machines Corporation Confined eptaxial growth for continued pitch scaling
WO2017111819A1 (en) 2015-12-26 2017-06-29 Intel Corporation Gate isolation in non-planar transistors
US9704969B1 (en) * 2015-12-31 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin semiconductor device having multiple gate width structures
US9773912B2 (en) * 2016-01-28 2017-09-26 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
EP3244447A1 (en) * 2016-05-11 2017-11-15 IMEC vzw Method for forming a gate structure and a semiconductor device
US9917085B2 (en) 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US9634143B1 (en) * 2016-07-21 2017-04-25 Globalfoundries Inc. Methods of forming FinFET devices with substantially undoped channel regions
CN107799421B (zh) * 2016-09-05 2021-04-02 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US10468310B2 (en) * 2016-10-26 2019-11-05 Globalfoundries Inc. Spacer integration scheme for FNET and PFET devices
KR102588209B1 (ko) 2016-11-22 2023-10-13 삼성전자주식회사 반도체 소자 및 이의 제조 방법
TWI707473B (zh) 2016-11-23 2020-10-11 聯華電子股份有限公司 半導體裝置以及其製作方法
US10037912B2 (en) 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10079289B2 (en) 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10164106B2 (en) 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
CN207396531U (zh) 2017-01-31 2018-05-22 杭州探真纳米科技有限公司 一种悬臂末端纳米探针
KR20180096850A (ko) 2017-02-20 2018-08-30 삼성전자주식회사 반도체 소자
CN108573927B (zh) * 2017-03-07 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10038079B1 (en) * 2017-04-07 2018-07-31 Taiwan Semicondutor Manufacturing Co., Ltd Semiconductor device and manufacturing method thereof
US10157800B2 (en) * 2017-04-24 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10204905B2 (en) * 2017-04-25 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
TWI744333B (zh) 2017-05-24 2021-11-01 聯華電子股份有限公司 半導體裝置及其製程
KR102336784B1 (ko) * 2017-06-09 2021-12-07 삼성전자주식회사 반도체 장치
US10269787B2 (en) * 2017-06-29 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure cutting process
US10361113B2 (en) * 2017-06-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ treatment processes for gap fill layers
US10396206B2 (en) * 2017-07-07 2019-08-27 Globalfoundries Inc. Gate cut method
US10283503B2 (en) * 2017-07-31 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10515952B2 (en) * 2017-08-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10347751B2 (en) 2017-08-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned epitaxy layer
US10535654B2 (en) * 2017-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate with slanted sidewalls
US10236220B1 (en) * 2017-08-31 2019-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
WO2019066768A1 (en) * 2017-09-26 2019-04-04 Intel Corporation DIRECTIONAL SPACER REMOVAL FOR INTEGRATED CIRCUIT STRUCTURES
US10811320B2 (en) * 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
US10453936B2 (en) 2017-10-30 2019-10-22 Globalfoundries Inc. Methods of forming replacement gate structures on transistor devices
US10325912B2 (en) * 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US20190139830A1 (en) * 2017-11-03 2019-05-09 Globalfoundries Inc. Self-aligned gate isolation
US10468527B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
US10741450B2 (en) * 2017-11-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a metal gate and formation method thereof
US10510894B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US11031290B2 (en) 2017-11-30 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with cutting depth control and method for fabricating the same
US10229854B1 (en) * 2017-12-14 2019-03-12 International Business Machines Corporation FinFET gate cut after dummy gate removal
US11476334B2 (en) * 2018-02-08 2022-10-18 Intel Corporation Silicide structure of an integrated transistor device and method of providing same
KR102472136B1 (ko) * 2018-03-12 2022-11-30 삼성전자주식회사 집적회로 소자
KR102449898B1 (ko) * 2018-04-10 2022-09-30 삼성전자주식회사 집적회로 소자
US10418285B1 (en) * 2018-05-30 2019-09-17 Globalfoundries Inc. Fin field-effect transistor (FinFET) and method of production thereof
US11456357B2 (en) * 2018-06-29 2022-09-27 Intel Corporation Self-aligned gate edge architecture with alternate channel material
US10854603B2 (en) * 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11398477B2 (en) 2019-05-29 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10910471B2 (en) * 2018-07-11 2021-02-02 Globalfoundries Inc. Device with large EPI in FinFETs and method of manufacturing
CN110718582A (zh) * 2018-07-12 2020-01-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102647231B1 (ko) 2018-08-02 2024-03-13 삼성전자주식회사 반도체 소자 및 이의 제조방법
CN110858608B (zh) * 2018-08-22 2023-11-07 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR20200028548A (ko) * 2018-09-06 2020-03-17 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11210447B2 (en) * 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US10714347B2 (en) 2018-10-26 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cut metal gate processes
KR102595606B1 (ko) * 2018-11-02 2023-10-31 삼성전자주식회사 반도체 장치
KR20200137256A (ko) * 2019-05-29 2020-12-09 삼성전자주식회사 집적 회로 반도체 소자 및 그 제조 방법
US11043595B2 (en) 2019-06-14 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate in memory macro edge and middle strap
US20210020635A1 (en) * 2019-07-17 2021-01-21 Nanya Technology Corporation Semiconductor structure and method of formation
US11101229B2 (en) 2019-09-17 2021-08-24 Nanya Technology Corporation Semiconductor device and method for fabricating the same
US11211116B2 (en) 2019-09-27 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded SRAM write assist circuit
US20210125875A1 (en) * 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11245028B2 (en) * 2020-01-30 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures of semiconductor devices
US11721694B2 (en) * 2020-02-27 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11482524B2 (en) * 2020-03-26 2022-10-25 Intel Corporation Gate spacing in integrated circuit structures
US11121138B1 (en) 2020-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance pickup cells for SRAM
US11450758B2 (en) * 2020-06-12 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure of semiconductor device and method of forming same
CN113823690A (zh) * 2020-06-19 2021-12-21 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11251092B2 (en) * 2020-06-29 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure of a semiconductor device and method of forming same
US11374088B2 (en) 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction in gate-all-around devices
US11482518B2 (en) 2021-03-26 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures having wells with protruding sections for pickup cells
CN113782434A (zh) * 2021-08-12 2021-12-10 上海华力集成电路制造有限公司 一种减小FinFET标准单元面积的方法及其形成的器件
CN113782443A (zh) * 2021-08-31 2021-12-10 上海华力集成电路制造有限公司 一种半导体器件及其形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009158813A (ja) * 2007-12-27 2009-07-16 Elpida Memory Inc 半導体装置の製造方法、及び半導体装置
WO2013101007A1 (en) 2011-12-28 2013-07-04 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (finfet) process

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
US8723236B2 (en) * 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8901665B2 (en) 2011-12-22 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US9530654B2 (en) * 2013-04-15 2016-12-27 Globalfoundaries Inc. FINFET fin height control
US8987827B2 (en) * 2013-05-31 2015-03-24 Stmicroelectronics, Inc. Prevention of faceting in epitaxial source drain transistors
JP2015061038A (ja) * 2013-09-20 2015-03-30 マイクロン テクノロジー, インク. 半導体装置
US9331074B1 (en) * 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10269802B2 (en) * 2015-05-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009158813A (ja) * 2007-12-27 2009-07-16 Elpida Memory Inc 半導体装置の製造方法、及び半導体装置
WO2013101007A1 (en) 2011-12-28 2013-07-04 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (finfet) process

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210143636A (ko) * 2020-05-20 2021-11-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 게이트 공정 윈도우를 확대하기 위한 더미 핀 프로파일 제어
KR102469902B1 (ko) * 2020-05-20 2022-11-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 게이트 공정 윈도우를 확대하기 위한 더미 핀 프로파일 제어
US11600717B2 (en) 2020-05-20 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd Dummy FIN profile control to enlarge gate process window

Also Published As

Publication number Publication date
US9331074B1 (en) 2016-05-03
TW201639161A (zh) 2016-11-01
US20170110454A1 (en) 2017-04-20
KR20160094244A (ko) 2016-08-09
TWI572035B (zh) 2017-02-21
CN105845578A (zh) 2016-08-10
US9935103B2 (en) 2018-04-03
CN105845578B (zh) 2019-01-08
US9559100B2 (en) 2017-01-31
US20160225764A1 (en) 2016-08-04

Similar Documents

Publication Publication Date Title
KR101709395B1 (ko) 반도체 디바이스 및 반도체 디바이스 제조 방법
US11107813B2 (en) Semiconductor device and manufacturing method thereof
US11508825B2 (en) Semiconductor device and manufacturing method thereof
US10879374B2 (en) Semiconductor device and manufacturing method thereof
KR102183123B1 (ko) 반도체 디바이스 및 이의 제조 방법
US9882029B2 (en) Semiconductor device including Fin-FET and manufacturing method thereof
US10269968B2 (en) Semiconductor device including fin structures and manufacturing method thereof
US10158018B2 (en) Semiconductor device and manufacturing method thereof
US10276574B2 (en) Semiconductor device and manufacturing method thereof
CN105789306B (zh) 半导体器件及其制造方法
US11404418B2 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20200212

Year of fee payment: 4