KR101698628B1 - 계면 표면의 원격 플라즈마 프로세싱 - Google Patents
계면 표면의 원격 플라즈마 프로세싱 Download PDFInfo
- Publication number
- KR101698628B1 KR101698628B1 KR1020127000891A KR20127000891A KR101698628B1 KR 101698628 B1 KR101698628 B1 KR 101698628B1 KR 1020127000891 A KR1020127000891 A KR 1020127000891A KR 20127000891 A KR20127000891 A KR 20127000891A KR 101698628 B1 KR101698628 B1 KR 101698628B1
- Authority
- KR
- South Korea
- Prior art keywords
- remote plasma
- wafer
- load lock
- loadlock
- plasma source
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims abstract description 102
- 238000000034 method Methods 0.000 claims abstract description 94
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims abstract description 21
- 239000004065 semiconductor Substances 0.000 claims abstract description 15
- 238000012546 transfer Methods 0.000 claims abstract description 14
- 150000002500 ions Chemical class 0.000 claims description 39
- 238000000151 deposition Methods 0.000 claims description 27
- 230000008021 deposition Effects 0.000 claims description 24
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 17
- 239000003989 dielectric material Substances 0.000 claims description 7
- 230000001939 inductive effect Effects 0.000 claims description 6
- 238000007747 plating Methods 0.000 claims description 5
- 230000008569 process Effects 0.000 abstract description 59
- 238000004140 cleaning Methods 0.000 abstract description 22
- 238000004519 manufacturing process Methods 0.000 abstract 1
- 210000002381 plasma Anatomy 0.000 description 168
- 235000012431 wafers Nutrition 0.000 description 81
- 239000010410 layer Substances 0.000 description 61
- 239000010949 copper Substances 0.000 description 38
- 239000010408 film Substances 0.000 description 34
- 239000000463 material Substances 0.000 description 33
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 32
- 229910052802 copper Inorganic materials 0.000 description 32
- 238000009832 plasma treatment Methods 0.000 description 29
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 description 27
- 239000007789 gas Substances 0.000 description 27
- 239000000203 mixture Substances 0.000 description 24
- 229910052751 metal Inorganic materials 0.000 description 19
- 239000002184 metal Substances 0.000 description 19
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 18
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 17
- 239000005751 Copper oxide Substances 0.000 description 16
- 229910000431 copper oxide Inorganic materials 0.000 description 16
- 229910052739 hydrogen Inorganic materials 0.000 description 15
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 15
- 229910052721 tungsten Inorganic materials 0.000 description 15
- 239000010937 tungsten Substances 0.000 description 15
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 14
- 239000001257 hydrogen Substances 0.000 description 14
- 239000000758 substrate Substances 0.000 description 14
- 229910052799 carbon Inorganic materials 0.000 description 13
- 238000011065 in-situ storage Methods 0.000 description 11
- 238000011282 treatment Methods 0.000 description 10
- 229910021529 ammonia Inorganic materials 0.000 description 9
- 230000004907 flux Effects 0.000 description 9
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 8
- 229910010271 silicon carbide Inorganic materials 0.000 description 8
- 238000005137 deposition process Methods 0.000 description 7
- 239000010941 cobalt Substances 0.000 description 6
- 229910017052 cobalt Inorganic materials 0.000 description 6
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 6
- 238000001723 curing Methods 0.000 description 6
- 239000012535 impurity Substances 0.000 description 6
- 150000004767 nitrides Chemical class 0.000 description 6
- 229920000642 polymer Polymers 0.000 description 6
- 230000009467 reduction Effects 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- 229910021332 silicide Inorganic materials 0.000 description 5
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 239000003990 capacitor Substances 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 238000002474 experimental method Methods 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 150000002430 hydrocarbons Chemical class 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 239000002243 precursor Substances 0.000 description 4
- 230000005855 radiation Effects 0.000 description 4
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 239000000356 contaminant Substances 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 229930195733 hydrocarbon Natural products 0.000 description 3
- 238000010849 ion bombardment Methods 0.000 description 3
- -1 mechanical Substances 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 239000003361 porogen Substances 0.000 description 3
- 239000011148 porous material Substances 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 238000002791 soaking Methods 0.000 description 3
- 238000004381 surface treatment Methods 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 229910005883 NiSi Inorganic materials 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 229910002090 carbon oxide Inorganic materials 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 238000007781 pre-processing Methods 0.000 description 2
- 238000003672 processing method Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- 238000002310 reflectometry Methods 0.000 description 2
- 238000005389 semiconductor device fabrication Methods 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 229910000531 Co alloy Inorganic materials 0.000 description 1
- 229910000990 Ni alloy Inorganic materials 0.000 description 1
- 229910008051 Si-OH Inorganic materials 0.000 description 1
- 229910006358 Si—OH Inorganic materials 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000013590 bulk material Substances 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 150000001721 carbon Chemical class 0.000 description 1
- 150000001722 carbon compounds Chemical class 0.000 description 1
- 150000001768 cations Chemical class 0.000 description 1
- 230000002925 chemical effect Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 238000004132 cross linking Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000005596 ionic collisions Effects 0.000 description 1
- WPBNNNQJVZRUHP-UHFFFAOYSA-L manganese(2+);methyl n-[[2-(methoxycarbonylcarbamothioylamino)phenyl]carbamothioyl]carbamate;n-[2-(sulfidocarbothioylamino)ethyl]carbamodithioate Chemical compound [Mn+2].[S-]C(=S)NCCNC([S-])=S.COC(=O)NC(=S)NC1=CC=CC=C1NC(=S)NC(=O)OC WPBNNNQJVZRUHP-UHFFFAOYSA-L 0.000 description 1
- 238000000691 measurement method Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 238000003847 radiation curing Methods 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 238000011946 reduction process Methods 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000004611 spectroscopical analysis Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0227—Pretreatment of the material to be coated by cleaning or etching
- C23C16/0245—Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
- H01L21/02074—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28185—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76886—Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L28/00—Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
- H01L28/40—Capacitors
- H01L28/60—Electrodes
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Organic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Materials Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Drying Of Semiconductors (AREA)
Abstract
원격 플라즈마 프로세싱을 통한 반도체 웨이퍼 제작 프로세스에서 계면 표면 세정에 관련된 실시예가 여기서 개시된다. 예를 들어, 일 실시예에서, 반도체 프로세싱 장치는, 프로세싱 챔버와, 이송 포트를 통해 상기 프로세싱 챔버에 커플링되는 로드 락(load lock)과, 상기 로드 락 내에 배치되고 상기 로드 락 내에서 웨이퍼를 지지하도록 구성되는 웨이퍼 페데스탈(wafer pedestal)과, 상기 로드 락에 원격 플라즈마를 제공하도록 구성되는 원격 플라즈마 소스를 포함한다.
Description
본 발명은 반도체 웨이퍼의 계면 표면의 원격 플라즈마 프로세싱에 관한 것이다.
반도체 소자 제작의 다양한 프로세스들은 제 2 조성물층 위에 제 1 조성물층을 증착하는 과정을 포함한다. 일부 상황에서, 아래 필름의 표면은 2개의 층의 접착 뿐 아니라 반도체 소자의 기계적 및/또는 전기적 특성에 영향을 미칠 수 있는 불순물을 포함할 수 있다. 예를 들어, 일례의 다마신 프로세스 프로세싱에서, 패턴처리된 유전체층 위에 금속이 증착되어, 유전체층에 형성된 비아 및 트렌치를 충진한다. 그 후, 과량의 금속이 화학-기계적 연마(CMP)를 통해 제거되어, 노출된 구리 및 저-k 유전체의 영역을 포함하는 평면형 표면을 형성하고, 그 위에는 실리콘 카바이드 식각 정지층과 같은 다른 층이 증착된다.
노출된 구리 영역은 다음 층들의 형성 이전에 산화 과정을 거칠 수 있다. 마찬가지로, 탄화수소가 CMP 프로세스 이후 웨이퍼 표면 상에 유지될 수 있다. 산화구리의 존재는 웨이퍼의 노출된 구리 부분 상에 식각 정지 필름의 접착 문제를 야기할 수 있다. 따라서, 다양한 세정 프로세스를 이용하여 이러한 산화구리를 제거할 수 있다. 일례의 경우, 프로세싱 챔버에 화학적 증기를 유입시키기 전에, 소정 시간 주기동안 플라즈마-강화 화학 기상 증착(PECVD) 프로세싱 챔버 내의 다이렉트 플라즈마에 이러한 웨이퍼를 노출시킬 수 있다. 암모니아 또는 수소 플라즈마와 같은 환원 플라즈마를 이용하면, 표면 상의 산화구리 및 탄화수소를 환원시켜서 표면을 세정할 수 있다. 그러나, 프로세싱 조건에 따라, 이러한 다이렉트 플라즈마는 구리 주변의 저-k 유전체에 또한 영향을 미칠 수 있다. 더욱이, 하나의 PECVD 챔버에서 인 시츄 (in situ) 플라즈마 세정 프로세스 단계를 이용함으로써, 전체 PECVD 시스템 처리량을 감소시킬 수 있다.
따라서, 원격 플라즈마 프로세싱을 통한 반도체 웨이퍼의 계면 표면 세정에 관련된 다양한 실시예가 여기서 개시된다. 예를 들어, 일 실시예에서, 반도체 프로세싱 장치는, 프로세싱 챔버와, 이송 포트를 통해 상기 프로세싱 챔버에 커플링되는 로드 락(load lock)과, 상기 로드 락 내에 배치되고 상기 로드 락 내에서 웨이퍼를 지지하도록 구성되는 웨이퍼 페데스탈(wafer pedestal)과, 상기 로드 락에 원격 플라즈마를 제공하도록 구성되는 원격 플라즈마 소스를 포함한다.
다른 실시예에서, 반도체 프로세싱 장치에서, 서로 다른 물질 조성의 2개의 층 사이에 계면을 형성하는 방법은, 기판 상에 제 1 물질 조성층을 형성하는 단계와, 원격 플라즈마 프로세싱 장치에 기판을 배치하는 단계와, 원격 플라즈마를 생성하는 단계와, 상기 제 1 물질 조성층의 표면 위에 원격 플라즈마를 유동시키는 단계와, 상기 제 1 물질 조성층의 표면 상에 제 2 물질 조성층을 형성하여 서로 다른 물질 조성의 2개의 층 사이에 계면을 형성하는 단계를 포함한다.
이러한 "과제의 해결 수단"은, 단순화된 형태로 개념의 선택을 도입하기 위해 제공되며, 아래 "발명을 실시하기 위한 구체적인 내용"에서 추가적으로 설명된다. 이러한 "과제의 해결 수단"은 청구되는 대상 발명의 핵심적 특징이나 본질적인 특징을 식별하고자 하는 것이 아니며, 청구되는 대상 발명의 범위를 제한하기 위해 사용되는 것도 아니다. 더욱이, 청구되는 대상 발명은 본 개시 내용의 어느 부분에 명시된 모든 단점 또는 일부 단점을 해결하는 구현예만으로 제한되지 않는다.
도 1은 반도체 프로세싱 시스템의 일 실시예의 개략도이고,
도 2는 원격 플라즈마 소스를 포함하는 로드 락(load lock)의 일 실시예에 커플링된 반도체 프로세싱 챔버의 일 실시예의 도면이며,
도 3은 도 2의 로드 락 및 원격 플라즈마 소스의 일 실시예의 단면도이고,
도 4는 이온 필터의 일 실시예에서, 관통 구멍 종횡비의 함수로 이온 플럭스 전달량을 나타내는 그래프이며,
도 5는 본 발명에 따른 반도체 웨이퍼 프로세스 방법의 일 실시예를 나타내는 순서도이고,
도 6은 다이렉트 암모니아 플라즈마를 통한 Cu층으로부터의 CuO 제거를, 원격 수소 플라즈마를 통한 제거에 비교하는 실험적 결과를 나타내는 그래프이며,
도 7은 다이렉트 암모니아 플라즈마 처리에 의해 저-k 유전체 필름에 야기되는 손상을, 시간 구간을 달리하면서 이루어지는 원격 수소 플라즈마 처리에 의해 야기되는 손상과 비교하는 실험적 결과를 나타내는 그래프이고,
도 8은 다양한 암모니아 다이렉트 플라즈마 및 수소 원격 플라즈마 처리 이후 구리 필름에 대한 실리콘 카바이드 식각 정지 필름의 접착을 비교하는 실험 결과의 그래프이며,
도 9는 본 발명에 따른 기판 프로세싱 방법의 다른 실시예의 순서도이고,
도 10은 반도체 프로세싱 시스템의 다른 실시예의 개략도다.
도 2는 원격 플라즈마 소스를 포함하는 로드 락(load lock)의 일 실시예에 커플링된 반도체 프로세싱 챔버의 일 실시예의 도면이며,
도 3은 도 2의 로드 락 및 원격 플라즈마 소스의 일 실시예의 단면도이고,
도 4는 이온 필터의 일 실시예에서, 관통 구멍 종횡비의 함수로 이온 플럭스 전달량을 나타내는 그래프이며,
도 5는 본 발명에 따른 반도체 웨이퍼 프로세스 방법의 일 실시예를 나타내는 순서도이고,
도 6은 다이렉트 암모니아 플라즈마를 통한 Cu층으로부터의 CuO 제거를, 원격 수소 플라즈마를 통한 제거에 비교하는 실험적 결과를 나타내는 그래프이며,
도 7은 다이렉트 암모니아 플라즈마 처리에 의해 저-k 유전체 필름에 야기되는 손상을, 시간 구간을 달리하면서 이루어지는 원격 수소 플라즈마 처리에 의해 야기되는 손상과 비교하는 실험적 결과를 나타내는 그래프이고,
도 8은 다양한 암모니아 다이렉트 플라즈마 및 수소 원격 플라즈마 처리 이후 구리 필름에 대한 실리콘 카바이드 식각 정지 필름의 접착을 비교하는 실험 결과의 그래프이며,
도 9는 본 발명에 따른 기판 프로세싱 방법의 다른 실시예의 순서도이고,
도 10은 반도체 프로세싱 시스템의 다른 실시예의 개략도다.
원격 플라즈마를 이용하여 반도체 소자의 인터페이스 표면을 세정 및/또는 그외 달리 처리함에 관련된 다양한 실시예들이 여기서 개시된다. 아래 상세하게 설명되는 바와 같이, 일부 실시예에서, 원격 플라즈마를 이용함으로써, 저-k 유전체 물질과 같이, 플라즈마에 노출되는 다른 물질에 대한 영향을 최소화하면서, 금속 산화물, 카본 화합물, 및 기타 다른 오염물을 효과적이면서 효율적인 방식으로 표면으로부터 세정할 수 있다. 더욱이, 이러한 원격 플라즈마는 다른 세팅에도 사용될 수 있는 데, 예를 들어, 저-k 물질의 증착 후 저-k 물질로부터 수소를 제거하는데 사용될 수 있고, 하드 마스크층과 같은 층의 증착 이전에 텅스텐 표면을 세정하는데 사용될 수 있으며, 도금 프로세스 이전에 시드층 또는 장벽층을 세정하는데 사용될 수 있고, 원자층(또는 다른) 증착 프로세스 이전에 목표된 화학적 반응성을 갖는 표면을 생성하는데 사용될 수 있으며, 초저-k 유전체의 포어 밀봉, 고-k 유전체로 증착될 표면의 사전 처리, 자외선 복사 경화, 등과 연계한 처리 등을 위해 사용될 수 있다.
인터페이스 표면의 원격 플라즈마 처리를 논의하기 전에, 원격 플라즈마 소스와의 로드 락(load lock)을 포함하는 일례의 반도체 프로세싱 장치의 일 실시예가 도 1 내지 도 3을 참조하여 설명된다. 우선, 도 1은 인바운드 로드 락(102) 및 아웃바운드 로드 락(104)-둘 중 적어도 하나는 원격 플라즈마 소스를 포함할 수 있음-을 구비한 멀티스테이션 프로세싱 툴(100)의 일 실시예의 개략도다. 로봇(106)은 대기압에서, 팟(pod)(108)을 통해 로딩되는 카세트로부터 대기 포트(110)를 통해 인바운드 로드 락(102) 내로 웨이퍼를 이동시키도록 구성된다. 웨이퍼가 로봇(106)에 의해 인바운드 로드 락(102)의 페데스탈(pedestal)(112) 상에 놓이고, 대기 포트(110)가 닫힌 후, 로드 락이 펌핑 다운된다. 인바운드 로드 락(102)이 원격 플라즈마 소스를 포함할 경우, 웨이퍼는 프로세싱 챔버(114) 내로 유입되기 전에 로드 락 내 원격 플라즈마 처리에 노출된다. 더욱이, 웨이퍼는, 예를 들어, 수분 및 흡착 가스를 제거하기 위해, 인바운드 로드 락(102)에서 또한 가열될 수 있다. 그 후, 프로세싱 챔버(114)로의 챔버 이송 포트(116)가 열리고, 다른 로봇(도시되지 않음)이 프로세싱을 위한 반응기 내에 도시되는 제 1 스테이션의 페데스탈 상에 반응기 내로 웨이퍼를 배치한다.
도시되는 프로세싱 챔버(114)는 4개의 스테이션(1 내지 4)을 포함한다. 각각의 스테이션은 가열되는 페데스탈(제 1 스테이션의 경우 118) 및 가스 라인 유입구를 갖는다. 실시예에서, 프로세싱 챔버(114)가 PECVD 프로세싱 챔버일 경우, 각각의 스테이션은 다이렉트 플라즈마 소스를 또한 포함한다. 상술한 바와 같이, 표면과 계면을 형성하는 다른 층을 형성하기 전에 웨이퍼의 표면을 세정하기 위한 한가지 방법은, PECVD 증착 프로세스를 위한 소스 가스를 유입시키기 전에 소정의 시간 주기동안 다이렉트 플라즈마에 웨이퍼 표면을 노출시키는 단계를 포함할 수 있다. 이러한 플라즈마 세정 프로세스는 Cu에 대한 식각 정지층(가령, SiC)의 접착을 개선시키기 위해 구리 표면 상의 산화구리 잔류물을 감소시키는데 사용될 수 있다. 그러나, 다이렉트 플라즈마에서 형성되는 고에너지 이온의 충돌은 저-k 유전체 물질의 유전체 상수 증가를 야기할 수 있다. 이는 RC 지연을 증가시킬 수 있고, 따라서 소자 성능에 영향을 미칠 수 있다. 도시되는 프로세싱 챔버(114)가 4개의 스테이션을 포함하지만, 본 발명에 따른 프로세싱 챔버는 임의의 적절한 개수의 스테이션을 포함할 수 있다. 예를 들어, 일부 실시예에서는 프로세싱 챔버가 5개 이상의 스테이션을 가질 수 있고, 다른 실시예에서는 프로세싱 챔버가 3개 이하의 스테이션을 가질 수 있다.
따라서, 식각 정지 증착 이전에 Cu 표면을 세정하기 위해 원격 플라즈마를 이용함으로써, 다이렉트 플라즈마에서 발견되는 고에너지 이온 충격을 웨이퍼 표면이 받지 않게 하면서, 산화구리를 환원시킬 수 있다. 원격 플라즈마 처리는 주로 화학적 처리로서, 이온 충돌과 관련한 효과를 감소시킬 수 있다. 더욱이, 프로세싱 챔버(114)에서보다는 인바운드 로드 락(102)에서 원격 플라즈마 세정을 수행함으로써, 로드 락에서의 원격 플라즈마 세정 프로세스가 스테이션(1)에서 웨이퍼 프로세싱과 병렬로 수행될 수 있기 때문에, 처리량이 커질 수 있다. 이러한 세정 프로세스를 위해 임의의 적절한 환원 플라즈마가 사용될 수 있다. 그 예로는, 이로 제한되는 것은 아니지만, N2, NH3, H2, 및 이들의 혼합물이 있다.
마찬가지로, CMP 프로세스는 다양한 탄화수소 화합물을 의도적으로, 또는 의도적이지 않게 증착할 수 있다. 따라서, 소정 양의 탄소가 CMP 프로세스 이후 웨이퍼 표면에 남을 수 있다. 이러한 경우에, 원격 플라즈마 세정 프로세스는 이러한 탄소 잔류물의 표면을 세정하는데 사용될 수 있다. 이러한 탄소 제거 프로세스에 임의의 적절한 플라즈마가 사용될 수 있다. 이로 제한되는 것은 아니지만, 상술한 환원 플라즈마, CO2와 같은 산화 플라즈마, 또는 이들의 혼합물이 그 예가 된다.
일부 실시예에서, 아웃바운드 로드 락(104)은 인바운드 로드 락(102)의 원격 플라즈마 소스 대신에, 또는 이에 추가하여, 원격 플라즈마로 웨이퍼 표면을 처리하도록 구성되는 원격 플라즈마 소스를 포함할 수 있다. 원격 플라즈마 소스가 아웃바운드 로드 락(104)에 사용될 수 있다. 예를 들어, 증착 후 저-k 필름으로부터 수소 제거를 위해 저-k 유전체 증착 툴에서 사용될 수 있다. 원격 플라즈마 세정 프로세스에 대한 다른 애플리케이션은, 이로 제한되는 것은 아니지만, AHM(Ashable HardMask)과 같은 하드 마스크의 증착 이전에 텅스텐 표면의 세정과, 전기도금 또는 무전기도금을 통한 도금 프로세스에 앞서 물리적 기상 증착(PVD) 구리 필름의 세정을 포함하지만 이에 제한되지 않는다. 구체적인 실시예들이 예로서 제시되지만, 어떤 방식으로도 제한하고자 하는 것은 아니다. 원격 플라즈마 프로세스를 통해 세정될 수 있는 다른 금속 표면은 이로 제한되는 것은 아니지만, 니켈 및 니켈 합금, 코발트 및 코발트 합금, 탄탈륨 및 탄탈륨 나이트라이드, 및 금속 실리사이드를 포함한다.
더욱이, 일부 실시예에서, 프로세싱 툴(100)의 스테이션(1)이 원격 플라즈마 세정 스테이션이도록 구성될 수 있다. 이러한 경우에, 추가적인 웨이퍼 프로세싱(가령, PECVD)이 스테이션(2 내지 4)에서 수행될 수 있고, 원격 플라즈마 세정은 스테이션(1)에서 수행될 수 있다. 그러나, 상술한 바와 같이, 로드 락에서 원격 플라즈마 세정을 수행하고, 로드 락에서 웨이퍼 가열을 수행할 경우, 원격 플라즈마 세정과 병렬로 이루어지는 다른 프로세스들을 위해 프로세싱 툴(100)의 스테이션(1 내지 4)들을 사용할 수 있다. 본질적으로, 로드 락과 함께 원격 플라즈마 소스를 이용함으로써, 멀티스테이션 프로세싱 툴(100)에 추가적인 프로세싱 스테이션을 제공할 수 있다.
도 2는 프로세싱 챔버(201)에 커플링되고 원격 플라즈마 소스(202)를 포함하는 로드 락(200)의 일 실시예를 도시한다. 원격 플라즈마 소스(202)는 RF 제너레이터(임피던스 정합 회로 포함) 및 유도성 결합 플라즈마 소스(도 3에 상세하게 도시됨)를 포함한다. 다른 실시예에서, 용량성 결합 플라즈마, 마이크로파 플라즈마, 또는 그외 다른 적절한 플라즈마 소스가 사용될 수 있다. 유도성 결합 플라즈마를 이용할 경우, 용량성 결합 플라즈마에 비해 플라즈마 소스의 스퍼터링-유도 손상을 감소시킬 수 있다. 로드 락은 로드 락 내의 웨이퍼를 자외선 광으로 조사하도록 구성된 자외선 광원을 구조물(202) 내에, 또는, 임의의 적절한 위치에 또한 포함할 수 있다.
로드 락(200)은 이온 충돌에 의해 야기되는 저-k 분해를 방지하기 위해 원격 플라즈마 흐름으로부터 이온을 제거하도록 구성되는 선택적인 이온 필터(204)를 더 포함한다. 이온 필터(204)는 소정의 프로세스에서 생략될 수 있다. 예를 들어, 이온 충돌이 프로세스 품질에 수용불가능할 정도로 해를 끼치는 경우가 아닐 때 생략될 수 있다. 도시되는 실시예에서, 이온 필터(204)는 원격 플라즈마 소스(202)의 유출구에 배치되는 다공판의 형태를 취한다. 이러한 판은 웨이퍼 표면에 법선 방향으로 로드 락 챔버(206) 내 페데스탈에 위치하는 웨이퍼로 원격 플라즈마 흐름을 지향시키도록 구성되는 복수의 관통 구멍을 포함한다. 이온 필터(204)는 아래에서 도 3을 참조하여 상세하게 설명된다. "웨이퍼 표면에 법선 방향"이라는 용어는 원격 플라즈마가 관통하여 유동하게 되는 이온 필터의 관통 구멍의 방향을 의미하며, 로드 락의 구체적 구조에 따라, 법선 방향으로부터 수용가능한 허용오차 범위 내의 방향을 포괄한다. 더욱이, 소정의 실시예에서, 원격 플라즈마 소스는 법선과는 다른 적절한 방향으로 원격 플라즈마의 흐름을 지향시키도록 구성될 수 있다. 도시되는 이온 필터 대신에, 또는 이러한 이온 필터에 추가하여, 다른 적절한 이온 필터가 사용될 수 있다. 다른 적절한 이온 필터의 예로는, 이로 제한되는 것은 아니지만, 대전 메시(charged mesh), 대전 벽체(charged wall)(예를 들어, 전하가 플라즈마 소스의 벽체에 인가되는 경우), 전자 소스(가령, 양이온 환원을 위해 전자를 제공하도록 구성되는 핫 와이어), 등이 있다. 일부 실시예에서, 로드 락은 기판 표면에 자외선 광을 지향시키도록 구성되는 자외선 광원을 포함할 수 있다.
도 3은 로드 락(200) 및 원격 플라즈마 소스(202)의 단면도다. 원격 플라즈마 소스(202)의 RF 제너레이터는 명료성을 위해 생략하였다. 원격 플라즈마 소스(200)는 목표된 패턴으로 원격 플라즈마 소스(200)의 내부 볼륨 내로 목표된 가스를 분배하도록 구성되는 복수의 구멍(302)을 가진 가스 유입구(300)를 포함한다. 가스 유입구(300)는 목표된 가스나 가스 혼합물을 가스 유입구(300)에 전달할 수 있는 멀티-채널 가스 박스(도시되지 않음)에 커플링될 수 있다.
원격 플라즈마 소스(202)는 유도 코일(306)로 둘러싸인 벽체(304)를 더 포함한다. 도시되는 실시예에서, 벽체(304)는 벨-형상 용기의 형태를 취하지만, 벽체(304)가 다른 적절한 구조를 가질 수도 있다. 마찬가지로, 벽체(304)는 임의의 적절한 물질로 제조될 수 있다. 적절한 물질의 예로는 이로 제한되는 것은 아니지만, 석영(quartz)이 있다.
벽체(304)는 원격 플라즈마 소스(202)의 유출구(308)를 형성하는 일반적으로 원형의 개구부를 포함한다. 유출구(308)는 로드 락에 사용하도록 의도된 웨이퍼에 대해 적절한 상대적 크기를 가질 수 있다. 예를 들어, 일부 실시예에서, 유출구(308)는 로드 락(200)이 사용하기 위한 웨이퍼의 직경보다 크거나 동일한 직경을 갖는다. 이에 따라, 전체 웨이퍼 표면이 원격 플라즈마의 실질적으로 균일한 입사 플럭스를 맞이할 수 있다. 다른 실시예에서, 유출구(308)는 웨이퍼의 직경보다 약간 작은 직경을 가질 수 있는데, 웨이퍼 표면 상에서의 균등하지 않은 원격 플라즈마 플럭스에 의해 야기되는 불균일한 프로세싱으로 인해, 표면이 수용가능한 허용오차를 벗어나지 않는 경우에 해당한다.
도 3과 관련하여, 이온 필터(204)는 원격 플라즈마 소스의 유출구에 걸쳐 배치되는 판을 포함하는 것으로 보인다. 이러한 판은 로드 락 챔버(312) 내에 위치한 웨이퍼 페데스탈(314)을 향해 로드 락 챔버(312) 내로 원격 플라즈마의 흐름을 전달하도록 구성되는 복수의 관통 구멍(310)을 포함한다. 일부 실시예에서, 페데스탈(314)은 원격 플라즈마 처리에 추가하여 로드 락(200)에서 프리(pre)-PECVD "소킹"(soaking) 또는 "온도 소킹"(temperature soaking)을 수행하도록 가열될 수 있다. 이는 저-k 유전체 상의 잔류 수분 및 흡착 가스 제거를 돕는다. 로드 락(202)은 원격 플라즈마 처리 프로세스로부터 부산물을 제거하고, 소킹 및 원격 플라즈마 처리 중 목표된 진공 상태로 로드 락을 펌핑 및 유지하기 위해 가스 유출구(316)를 또한 포함한다.
상술한 바와 같이, 도시되는 실시예의 관통 구멍(310)은 페데스탈 표면 상에 위치한 웨이퍼에 법선을 이루는, 웨이퍼 페데스탈(314)의 웨이퍼 지지 표면에 법선인 흐름 방향을 갖도록 배향된다. 그러나, 관통 구멍(310)이 도시되는 것과는 다른 구조를 가질 수도 있다. 더욱이, 관통 구멍(310)은 이온 필터 판의 두께에 대해 상대적인 적절한 치수를 가질 수 있다. 관통 구멍의 상대적 크기 및 길이는 필터를 통과하는 이온 플럭스 투과량에 영향을 미칠 수 있다. 도 4는 서로 다른 구멍 패턴을 갖는 서로 다른 2개의 이온 필터에 대한 관통 구멍(310)의 기하학적 요인의 함수로 이온 필터(204)를 통과하는 정규화된 이온 플럭스 투과량을 도시하며, 기하학적 요인은 관통 구멍 직경에 대한 판 두께로 규정되는 종횡비다. 도시되는 바와 같이, 각각의 필터에 대한 이온 플럭스 투과량은 유사한 곡선을 따른다. 일반적으로, 이온 플럭스는 약 2의 기하학적 요인까지 각각의 필터를 통해 비교적 높고, 3의 기하학적 요인 주위에서 실질적으로 0으로 떨어진다. 따라서, 이온 플럭스를 실질적으로 0의 값으로 감소시키기 위해, 이온 필터(204)는 3 이상의 직경에 대한 길이(즉, 판 두께)의 비를 갖는 각각의 관통 구멍을 가지도록 구성될 수 있다.
이온 필터(204)는 임의의 적절한 물질로 제조될 수 있다. 적절한 물질은 이로 제한되는 것은 아니지만, 알루미늄 및 다른 금속과 같은 열전도성 물질과, 석영와 같은 단열 물질을 포함할 수 있다. 이온 필터(204)로 열전도성 물질을 이용하면, 로드 락(200) 및/또는 원격 플라즈마 소스(202)의 열전도성 외측 벽체에 열을 전달함으로써 이온 필터를 냉각시킬 수 있다. 이온 필터는 로드 락에 위치한 웨이퍼의 표면으로부터 임의의 적절한 거리로 이격될 수 있고, 일부 실시예에서 조정가능할 수 있다(가령, 이동식 페데스탈이 웨이퍼를 상승 또는 하강시킬 수 있다).
마찬가지로, 플라즈마 소스는 목표된 조성의 라디칼 종의 플라즈마를 형성하기 위해 임의의 적절한 전력에서 작동할 수 있다. 적절한 전력의 예로는 이로 제한되는 것은 아니지만, 300W 내지 5000W 사이의 전력이 있다. 마찬가지로, RF 전력 공급원은 임의의 적절한 주파수의 RF 전력을 제공할 수 있다. 유도성 결합 플라즈마의 적절한 주파수의 예로는 13.56 MHz가 있다.
가스 유입구(300), 벽체(304), 및 이온 필터(204)의 도시되는 구조는 웨이퍼 이송 이후 로드 락의 펌핑 다운을 촉진시키는 기능을 할 수 있다. 예를 들어, 가스 유입구(300)를 통해 비활성 가스를 공급함으로써, 웨이퍼 위에서의 진공 생성 또는 페데스탈 상의 웨이퍼 위의 응결을 방지하는 데 도움이 될 수 있는 반대쪽(즉, 페데스탈의 대향측) 상에 배압(back pressure)이 생성될 수 있다. 그러나, 이러한 부분들이 다른 적절한 구조를 가질 수도 있다.
로드 락(202)이 임의의 적절한 프로세스에 사용될 수 있다. 한가지 구체적 예는 다마신 구조 포스트-CMP 위 식각 정지층의 증착을 포함한다. 도 5는 원격 플라즈마로 웨이퍼를 처리 후 웨이퍼 상에 식각 정지층을 증착하는 방법(500)의 일 실시예를 나타내는 순서도를 도시한다. 방법(500)은 단계 502에서, PECVD 챔버의 인바운드 로드 락 내로 웨이퍼를 삽입하고, 단계 504에서, 로드 락 내에서 웨이퍼를 가열한다. 상술한 바와 같이, 웨이퍼 가열은 기판 표면으로부터 수분 및 흡착 가스를 제거하는 것을 도울 수 있다. 그 후, 단계 506에서, 방법(500)은 웨이퍼가 로드 락 내에 있는 동안 웨이퍼 위로 원격 플라즈마를 유동시키는 단계를 포함한다. 이는 다양한 서브프로세스를 포함할 수 있다. 예를 들어, 이는 유도성, 용량성, 마이크로파, 또는 다른 적절한 메커니즘(및 다른 프로세스의 잠재적 수행, 가령, 자외선광에 기판 노출)을 통해 원격 플라즈마를 형성하는 단계(508)를 포함할 수 있다. 일부 실시예에서, 원격 플라즈마로부터의 이온이 여과될 수 있다(단계 510). 일부 실시예에서, 원격 플라즈마는 웨이퍼 표면에 법선 방향으로 웨이퍼 표면으로 지향될 수 있고, 다른 실시예에서 원격 플라즈마는 다른 적절한 방향으로 웨이퍼 표면으로 지향될 수 있다.
웨이퍼 위에서 원격 플라즈마를 유동시키는 프로세스는 다양한 화학적 효과를 가질 수 있다. 예를 들어, 단계 514에 도시되는 바와 같이, 원격 플라즈마는 웨이퍼 표면의 구리 노출부 상에 형성되는 산화구리와 같은, 기판 표면 상의 금속 옥사이드를 감소시킬 수 있다. 마찬가지로, 단계 516에 도시되는 바와 같이, 원격 플라즈마 프로세스가 CPM 프로세스에 이어지는 경우에, 원격 플라즈마는 산화 또는 다른 적절한 프로세스에 의해 웨이퍼 표면 상의 탄소 잔류물을 제거할 수 있다. 임의의 적절한 가스 또는 가스들의 조합을 이용하여 원격 플라즈마를 형성할 수 있다.
도 5를 참조하면, 방법(500)은 단계 518에서, 로드 락으로부터 PECVD 챔버내로 웨이퍼로 이송하고, 단계 520에서, 웨이퍼 표면 상의 식각 정지층을 형성한다. 산화구리 및 잔류 탄소 제거는 아래의 구리에 대한 식각 정지층의 접착을 개선시킬 수 있고, 구리 특징부가 위치하는 저-k 유전체층에 대한 손상을 방지할 수 있다. 로드 락에서의 원격 플라즈마 처리 수행이 시스템 처리량의 유지 또는 증가에 도움이 될 수 있으나, 구리 산화물 감소 및/또는 탄소 잔류물 제거를 위한 원격 플라즈마 처리는 인 시츄 또한 수행될 수 있다(즉, PECVD 내에서 또는 다른 증착 챔버 내에서). 예를 들어, 도 1에 도시되는 프로세싱 툴(100)의 스테이션(1)이 원격 플라즈마 처리를 수행하도록 적응될 수 있다.
도 6은 다양한 플라즈마 처리에 의해 CuO 제거를 비교하는 실험의 결과를 나타내는 그래프(600)다. 도 6에 도시되는 데이터를 얻기 위해, Cu층이 PVD를 통해 증착되었고, 그 후 대략 120 옹스트롬 두께의 CuOx층이 산화 플라즈마에서 성장하였다. 그 후, CuOx 환원 속도가 여러 플라즈마 처리법에 대해 측정되었다. 도 6의 좌측의 2개의 막대기 데이터는 PECVD 챔버 내에서 인 시츄 수행되는 다이렉트 암모니아 플라즈마를 통한 CuOx 제거를 도시한다. 도시되는 바와 같이, 약 6초의 처리 이후 약 50%의 CuOx 가 제거되었고, CuOx 는 12초의 처리 이후 실질적으로 완전하게 제거되었다.
그 후, 도 6의 우측의 2개의 막대기 데이터는 도 3에 도시되는 것과 유사한 원격 플라즈마 소스를 이용하여 수행된 원격 수소 플라즈마를 통한 CuOx 의 제거를 도시한다. 도시되는 바와 같이, 실질적으로 모든 CuOx 가 5초의 처리 후 제거되었다. 따라서, 원격 플라즈마는 다이렉트 플라즈마에 비해 높은 산화구리 환원 속도를 제공한다.
도 7은 플라즈마 처리 조건 및 시간의 함수로 저-k 물질 성능의 변화를 비교하기 위해 수행되는 실험들의 결과를 도시하는 그래프(700)다. 우선, 그래프 가장 좌측의 막대기는 도 6의 그래프에 도시된 바와 같이, 실질적으로 모든 산화구리를 환원시키기에 충분한 시간동안 수행된 인 시츄 다이렉트 암모니아 플라즈마 처리에 의해 야기되는 손상 퍼센트를 도시한다. 그 후, 인 시츄 플라즈마 막대기의 우측에 있는 4개의 막대기는 각각 5초, 15초, 30초, 60초의 시간 구간동안 원격 수소 플라즈마 처리에 의해 야기되는 손상 퍼센트를 나타낸다. 저-k 물질의 시작 두께는 각각의 실험에 대해 대략 2000 옹스트롬이다. 이 그래프에서 도시되는 결과로부터, 원격 수소 플라즈마 처리는 15초 또는 그 이하의 처리 시간동안 저-k 물질에 실질적으로 어떤 손상도 야기하지 않았음을 확인할 수 있다. 더욱이, 도 6에 도시되는 바와 같이, 5초의 프로세스 시간은 웨이퍼 표면으로부터 모든 산화구리를 실질적으로 제거하기에 충분하였다. 따라서, 도 6 및 도 7의 결과로부터, 원격 수소 플라즈마 처리는, 저-k 물질에 대해 바람직하게 낮은 유전체 상수를 유지하면서, 웨이퍼 표면으로부터 산화구리를 제거할 수 있다.
도 8은 구리 표면 상의 산화구리를 환원시키기 위해 다양한 플라즈마 처리를 수행한 이후 구리 표면 상에 증착되는 실리콘 카바이드 필름의 계면 파괴 에너지(Gc)를 결정하기 위한 실험의 결과를 나타내는 그래프(800)다. 가장 좌측의 막대기는 인 시츄 암모니아 다이렉트 플라즈마 처리 후 구리 표면 상에 실리콘 카바이드 필름의 접착을 나타내고, 우측의 막대기들은 각각 15초, 30초, 60초의 원격 수소 처리 후 구리 표면에 실리콘 카바이드 필름의 접착을 나타낸다. 이 결과에 대한 터키-크라머(Tukey-Cramer) 통계는 그래프 가장 우측의 기둥으로 제시되며, 이는 분포가 일치함을 의미한다. 그래프(800)로부터, 인 시츄 암모니아 플라즈마로 처리되는 구리 표면의 경우와 유사한 계면 파괴 에너지로 구리 상의 실리콘 카바이드 접착을 구현함에 있어, 15초 또는 그 이하의 원격 수소 플라즈마 처리면 충분하다는 것을 알 수 있다.
상술한 바와 같이, 원격 플라즈마 소스는 식각 정지 증착에 앞선 구리/저-k 표면 처리와는 다르게 웨이퍼 표면을 처리하는데 사용될 수 있다. 도 9는 계면층 형성 이전에 웨이퍼 상의 표면을 처리하기 위해 원격 플라즈마 소스를 이용하는 일반화된 방법(900)을 도시한다. 방법(900)은 단계 902에서, 기판 상에 제 1 물질 조성층을 형성한다. "웨이퍼"와 "기판"은 상호혼용가능하게 사용될 수 있고, 실리콘웨이퍼와는 다른 기판을 의미할 수 있다. 제 1 물질 조성은 예를 들어, 금속 904(도금 프로세스 이전 구리의 PVD), 연마된 금속/유전체층(가령, CMP 후 구리 또는 텅스텐 표면), 저-k 유전체층, 또는 그외 다른 적절한 층을 포함할 수 있다.
그 후, 단계 910에서, 기판은 원격 플라즈마 프로세싱 장치에 위치한다. 예를 들어, 일부 실시예에서, 단계 912로 나타난 바와 같이, 프로세싱 장치는 여기서 설명되는 실시예와 같은 원격 플라즈마 소스를 구비한 로드 락을 포함할 수 있다. PVD-증착된 시드층에 구리 또는 다른 금속을 도금하기 위한 도금 시스템이나 식각 정지 증착 시스템의 경우에, 로드 락은 반입 로드 락(914)일 수 있다. 마찬가지로, 저-k 유전체 필름 증착 시스템의 경우에, 로드 락은 반출 로드 락(916)일 수 있다. 더욱이, 또 다른 실시예에서, 프로세싱 챔버용 반입 및 반출 로드 락 각각은 원격 플라즈마 소스를 포함할 수 있다. 다른 실시예에서, 단계 918에 제시된 바와 같이, 원격 플라즈마 프로세싱 장치는 전용 프로세싱 챔버, 멀티스테이션 프로세싱 툴 챔버의 전용 스테이션, 등을 포함한다.
그 후 방법(900)은 원격 플라즈마를 발생시키는 단계(920)를 포함한다. 일부 실시예에서, 원격 플라즈마로부터 이온이 여과될 수 있다(단계 923). 일부 실시예에서, 원격 플라즈마는 환원 가스 또는 가스 혼합물로부터 발생될 수 있고(단계 922), 다른 실시예에서, 원격 플라즈마가 산화 가스 또는 가스 혼합물로부터 발생될 수 있다(단계 924). 더욱이, 또 다른 실시예에서, 원격 플라즈마는 산화 및 환원 가스 모두로부터 발생될 수 있다. 로드 락 내 압력은 목표된 플라즈마, 가령, 유도성 결합 플라즈마, 고밀도 플라즈마, 등을 형성하기 위해 임의의 적절한 값을 가질 수 있다. 유도성 결합 플라즈마의 경우, 로드 락 압력은 1 토르 내지 760 토르 사이일 수 있고, 더욱 구체적인 예에서 1 토르 내지 20 토르 사이일 수 있다. 고밀도 플라즈마 영역의 경우, 로드 락 압력은 1 밀리토르 내지 1 토르 사이일 수 있다. 이 범위들은 예시적인 목적으로 제시되며, 어떤 방식으로도 발명을 제한하고자 하는 것이 아니다.
그 후, 단계 926에 제시된 바와 같이, 방법(900)은 제 1 물질 조성층 위에 단계 920에서 발생된 원격 플라즈마를 유동시키는 단계를 포함한다. 일부 실시예에서, 원격 플라즈마 흐름은 기판의 표면에 대해 일반적으로 법선인 방향으로 제 1 물질 조성층에 지향될 수 있다. 이러한 실시예에서, 상술한 바와 같이, 원격 플라즈마 소스는 처리되는 웨이퍼의 직경보다 크거나 동일한 직경을 갖는 유출구를 갖도록 구성될 수 있다. 구체적인 예에서, 12인치 직경의 유출구를 갖는 원격 플라즈마 소스가 300mm 웨이퍼를 처리하는데 사용될 수 있다. 다른 실시예에서, 원격 플라즈마는 다른 적절한 방향으로 층을 향해 지향될 수 있다. 더욱이, 일부 실시예에서, 기판은 원격 플라즈마 처리 이전, 중에, 및/또는 이후에, 단계 927로 표시되는 바와 같이, 원격 플라즈마 프로세싱 장치에 배치되면서, 자외선 광에 노출될 수 있다.
상술한 바와 같이, 원격 플라즈마 처리는 표면 상의 산화물, 탄소, 및/또는 탄화수소와 같은 종들을 화학적으로 개질시킬 수 있다. 더욱이, 다른 실시예에서, 원격 플라즈마 처리는 제 1 물질 조성층의 벌크 성질을 개질시킬 수 있다. 예를 들어, 제 1 물질층이 저-k 유전체층을 포함하는 경우에, 원격 플라즈마 처리는 저-k 물질 모재(matrix)에서 Si-H, Si-CHx, 및/또는 Si-OH 본드를 제거할 수 있다. 다른 예로서, 원격 플라즈마 처리는 표면 및/또는 하부층 중 하나 이상의 물리적, 전기적, 화학적, 기계적, 접착성, 또는 열 특성에 영향을 미치는데 사용될 수 있다.
제 1 물질 조성층 위에서 원격 플라즈마를 수행한 후, 방법(900)은 제 1 물질 조성층 상에 제 2 물질 조성층을 형성하는 단계(928)를 포함한다. 예를 들어, 제 1 물질 조성층이 구리 및 저-k 유전체 영역을 갖는 표면을 포함하는 경우에, 제 2 물질 조성층은 실리콘 카바이드(또는 다른) 식각 정지층을 포함할 수 있다(단계 930). 다른 구체적 예에서, 제 1 물질층이 텅스텐을 포함하는 경우, 제 2 물질층은 예를 들어, 하드 마스크층을 포함할 수 있다(단계 932). 구체적 실시예는 예시적인 목적으로 제시되며, 어떤 방식으로도 발명을 제한하고자 하는 것이 아니다.
따라서, 원격 플라즈마에 노출되는 저-k 유전체층의 분해를 적게 또는 아예 없도록 하면서, 인 시츄 암모니아 플라즈마에 필적할만한 효율로 웨이퍼 표면으로부터 금속 옥사이드 및 탄소 증착물, 및 잠재적인 다른 잔류물을 제거하는데 원격 플라즈마가 사용될 수 있다. 더욱이, 본 개시되는 원격 플라즈마 처리 장치 및 프로세스를 이용하여, 수소 및/또는 탄소를 저-k 필름으로부터 제거하기 위해 저-k 필름을 포스트-처리할 수 있다.
다음 층 증착 이전에 원격 플라즈마 처리를 이용하여 금속 옥사이드, 탄소, 및/또는 다른 오염물을 제거하도록 표면을 처리하는데 유익할 수 있는, 앞서 설명한 상황과는 다른 상황이 존재할 수 있다. 하나의 예는 2개의 평행한 전도판 사이에 유전체를 샌드위치 형태로 삽입함으로써 커패시터를 형성하는 것이다. 일부 커패시터에서, 평행판은 다마신 프로세스를 이용하여 구리로 형성될 수 있다. 이러한 프로세스의 일부 예에서, 코발트가 구리와 유전체 사이에 중간층으로 증착되어, 구리와 유전체 사이에서 확산 장벽으로 작용하고 유전체에 대한 접착을 개선시킨다. 코발트 증착 후, 코발트 표면은 붕소, 망간, 텅스텐, 또는 옥사이드와 같은 트레이스 불순물로 오염될 수 있다. 따라서, 유전체 증착 이전에 원격 플라즈마 처리를 이용하여 코발트 표면을 처리함으로써, 커패시터의 품질을 저하시킬 수 있는 코발트-유전체 계면에서 불순물 및 옥사이드를 제거할 수 있고, 커패시터에 대한 유전체의 접착을 개선시킬 수 있다.
원격 플라즈마 처리는 텅스텐 관련 프로세스에도 사용될 수 있다. 예를 들어, 전형적인 CMOS 소자에서, W는 트랜지스터의 소스, 드레인, 및 게이트를 연결하는데 사용된다. 소스 및 드레인 접촉 금속은 W일 수 있다. NiSi, Pt-도핑된 NiSi, NiSiGe, 또는 코발트 실리사이드와 같은 실리사이드가 소스 및 드레인 영역에 형성된다. 네이티브 (native) 옥사이드의 접촉부를 세정하기 위한 Ti 라이너와, 접착을 촉진시키고 (가령, WF6 프리커서의 F로부터의) 화학적 공격에 대한 방어 기능을 하는 TiN 라이너가, W의 CVD 증착 이전에 사용될 수 있다. 따라서, Ti/TiN 라이너는 실리사이드와 프리(pre)-금속 유전체(PMD) 모두에 증착될 것이다. PMD는 갭-충진 옥사이드, 저-k 옥사이드, 또는 스핀 온 유전체나 다른 유전체일 수 있다. 대안의 기법은 Ti/TiN 라이너를 WN과 같은 W계 라이너로, 또는, 플루오르없는 프리커서를 이용하여 증착되는 W계 라이너로 대체하는 것이다. W계 라이너 및 W 접촉부의 증착 이전에 원격 플라즈마 처리가 사용될 수 있다. 원격 플라즈마 사전처리는 PDM 및또는 실리콘 접촉부의 표면(또는 필름 그 자체)을 개질하여, 후속하는 W-계 라이너 증착을 촉진시킬 수 있다. 다른 예로서, 원격 플라즈마 처리를 이용하여, 추후에 텅스텐 증착 프로세스를 요구하는 노출된 금속 게이트로 웨이퍼를 처리할 수 있다. 고-k 게이트 금속 스택은 고-k 게이트 옥사이드, 일함수 금속, 알루미늄계 금속, 및 게이트 캡층(가령, Al, TiN, TiO2, AlTiOx, 또는 Ta-계 금속)을 포함할 수 있다. 텅스텐 증착 프로세스는 플루오르없는 텅스텐 프리커서, 또는, WF6와 같은 플루오르 함유 프리커서를 이용하여 CVD 또는 ALD 챔버에서 이루어질 수 있다. 어느 경우에도, 원격 플라즈마 처리의 수행은 트랜지스터의 게이트, 소스, 및 드레인 영역과 접촉하는 표면 및/또는 PMD의 벌크 특성이나 표면을 개질할 수 있다. SiO2계 게이트 유전체에 대한 금속 게이트가 또한 텅스텐일 수 있다. 따라서, 이러한 게이트 형성 이전의 원격 플라즈마 사전처리가 유익할 수 있다.
텅스텐은 집적 회로에서 서로 다른 전도층 사이에서 접촉부로 또한 사용될 수 있다. 따라서, 이러한 구현예에서, 전도 경로의 저항을 감소시키는 것이 바람직할 수 있다. 텅스텐이 접촉하게 되는 실리사이드 인터커넥트, 구리 인터커넥트, 텅스텐 접촉부와 금속 게이트 사이에 트래핑되는 옥사이드와 같은 불순물이 접촉부의 직렬 저항을 증가시킬 수 있다. 따라서, 텅스텐 증착 이전에 원격 플라즈마 처리로 전도 금속으로부터 옥사이드를 제거할 경우, 접촉부의 저항을 감소시킬 수 있다. 텅스텐 또는 텅스텐계 전도 물질이 백-엔드(back-end) 금속 피복 기법의 일부분으로 사용될 수 있다. 이와 같이, 유전체와 구리를 포함하는 표면에 W가 증착되는 것이 가능할 수 있다. 원격 플라즈마 처리가 본 예에서 사용될 수 있다.
원격 플라즈마 처리는 응력을 받는 나이트라이드 필름의 증착 이전에 표면을 세정하는데 또한 사용될 수 있다. PMOS 소자는 압축 응력의 나이트라이드로부터 도움을 받을 수 있고, NMOS 소자는 인장 응력의 나이트라이드 필름으로부터 도움을 받을 수 있다. 응력을 받는 나이트라이드 필름은 게이트 아래 채널 상에 응력을 유도하기 위해 트랜지스터 위에 증착될 수 있고, 이는 채널 내 전자나 정공의 이동성을 개선시킬 수 있고, 따라서, 트랜지스터의 속도를 증가시킬 수 있다. 그러나, 게이트 상의 옥사이드 존재는 게이트/나이트라이드 계면과 간섭을 일으킬 수 있고, 따라서, 트랜지스터 채널 상에 더 적은 응력 변형을 야기할 수 있다. 원격 플라즈마 처리를 이용하여 나이트라이드 증착 전에 표면으로부터 옥사이드를 제거할 수 있다. 옥사이드를 제거함으로써, 트랜지스터는 트랜지스터 사이에서 이동성 증가, 균일성 증가를 나타낸다.
원격 플라즈마 처리는 PECVD 자체-정렬 장벽(PSAB) 프로세스 이전에 표면 처리로 사용될 수 있다. PSAB는 미국특허공보 제7,396,759호에 개시되어 있고, 그 공개 내용은 본 발명에 포함된다. PSAB 프로세스는 구리 인터커넥트 위에 보호형 버퍼층 및/또는 캡층을 생성하는데 사용될 수 있다. PSAB 프로세스의 예는 CMP 이후 웨이퍼를 세정하고, 웨이퍼 표면을 제 1 반응물에 노출시켜서 구리 인터커넥트 위에 버퍼층을 형성하며, 여기 가스를 포함하는 제 2 반응물을 노출시켜서 버퍼층 위에 캡층을 형성하는 과정을 포함한다. 각각의 PSAB 단계는 진공 파괴(vaccum break)없이 복수의 챔버에서, 또는 단일 챔버에서 수행될 수 있다. PASB 프로세스의 속성은 PSAB 프로세스 챔버에서 웨이퍼를 가열하는 온도를 제한할 수 있다. 따라서, 로드 락에서 원격 플라즈마 사전처리 프로세스를 수행하는 것은, PSAB 증착 챔버에서 이러한 세정을 수행하는 것에 비해 사전처리 세정에 대해 더 효과적일 수 있다. 추가적으로, 사전처리 단계 중 인접한 저-k, ULK 또는 ELK 물질의 손상은 오염물 제거와 큰 절충없이 감소할 수 있다. 원격 플라즈마 사전처리 프로세스는 PSAB 프로세스의 사전처리 단계 대신에 사용될 수 있고, 또는, PSAB에 대한 CVD 챔버의 스테이션(1) 상에서 발생할 수 있는 사전처리 단계에 추가하여 사용될 수 있다. 로드 락 페데스탈 온도는 프로세스 챔버의 스테이션(1)의 온도와 다를 수 있다. 따라서, 하나의 프로세스 조건에서 스테이션(1)에서 모두 수행될 수 있는 PSAB 프로세스의 여러가지 구성요소들은 여러 온도에서 (그리고 다른 프로세스 조건에서) 수행될 수 있으며, 따라서, 더 큰 유연성을 제공하게 된다.
일부 실시예에서, 인 시츄 방법을 이용하여 플라즈마 사전처리의 진행을 측정할 수 있고 실시간 종점 검출을 제공할 수 있다. 예를 들어, 원격 플라즈마 사전처리의 목표된 효과는 구리 세정을 위해 산화구리를 화학적으로 환원시키는 것이고, 옥사이드 환원은 반사형 두께측정법(reflectrometry), 타원 편광 반사법(ellipsometry), 또는 분광분석법(spectrometry)를 이용하여 측정될 수 있다. 예를 들어, 구리 상의 CuO 및 Cu2O 박막의 반사율은 순수 (clean) Cu의 반사율과 매우 다르며, 따라서, 반사형 두께 측정법을 이용하여 옥사이드 환원 프로세스의 종점을 결정할 수 있다. 또한, 원격 플라즈마 사전처리의 목표된 효과가 수분을 유리시키는 것일 경우, 인 시츄 수분 검출기가 사용될 수 있다. 계측법(metrology)을 또한 이용하여, 잔류 포토레지스트가 로드 락의 웨이퍼 상에 존재하는 지를 결정할 수 있게 하는, 전방 또는 후방 표면 조건을 검사할 수 있다.
상술한 바와 같이, 일부 실시예에서, 원격 플라즈마 소스를 갖춘 로드 락이 자외선 광원을 또한 포함할 수 있다. 자외선 처리는, 예를 들어, CMP 이후 노출된 구리 및 유전체 상에 남아있는 불안정한 탄소 및 다른 불순물을 제거하는데 사용될 수 있다. 유전체로부터 불순물 제거는 유전체를 통한 누설을 증가시킬 수 있는 트래핑된 전하를 제거하고 결함을 패시베이션시킬 수 있다. 따라서, 로드 락에서의 원격 플라즈마 처리/자외선의 조합은 이러한 불안정한 탄소 및 산화구리를 제거하는데 사용될 수 있다. 예를 들어, 일 실시예에서, 필름 증착 프로세스를 위해 프로세싱 챔버에 이송되기 전에 로드 락에서, 웨이퍼가 자외선 광에 노출되어 불안정한 탄소를 제거하고, 이어서, 원격 플라즈마에 노출되어 산화구리를 제거할 수 있다.
자외선 및 원격 플라즈마 처리는 경화 단계와 함께 프로세스에서 사용될 수 있다. 예를 들어, 초저-k 유전체는 저-k 유전체 필름에 다공성을 도입함으로써 생성될 수 있다. 유전체 필름에 다공성을 도입하는 것은, 예를 들어, 기공 생성기(가령, 유기 물질)와 함께 백본 유전체 물질(가령, 오가노-실리케이트 글래스; OSG)를 함께 증착함으로써 달성될 수 있다. 그러나, 이러한 종류의 다공성을 유도할 경우, 필름의 기계적 특성을 저하시킬 수 있고, 기계적 손상없이 후속 통합 단계를 견디기 위한 능력을 감소시킬 수 있다. 따라서, 증착 후, 기공 생성기(포로젠)가 유전체 필름으로부터 제거될 수 있고, 유전체 물질은 차후 프로세싱을 위해 조밀화되고 강화될 수 있다. 이러한 조합된 자외선/원격 플라즈마 사전처리는 원격 플라즈마 로드 락에 커플링된 자외선 경화 툴을 이용하여, 또는, 다른 적절한 툴의 배열 및/또는 로드 락을 통해 수행될 수도 있다.
자외선 복사는 백본 유전체 물질의 강화 및 포로젠 제거를 달성하기 위해 사용될 수 있다. 더욱이, 헬륨, 아르곤, 또는 제논 플라즈마와 같은 적절한 원격 플라즈마는 필름을 추가적으로 강화하기 위해 초저-k 필름의 표면층으로부터 탄소를 제거하는데 사용될 수 있다. 예를 들어, 자외선 복사는 유전체 필름으로부터 포로젠을 도출하고 잔류 OSG 물질의 본드 구조를 재배열하는데 사용될 수 있고, 원격 플라즈마는 초저-k 필름으로부터 탄소를 물리적으로 제거하여 필름의 외측층을 조밀하게 하는데 사용될 수 있다. 초저-k 유전체 필름의 조밀화된 캡은 캡 아래의 벌크 물질보다 기계적 강도가 높기 때문에 후속 프로세싱 단계로부터 벌크 초저-k 필름을 보호하는 기능을 할 수 있다. 대안적인 실시예에서, 플라즈마는 화학 반응을 통해 유전체를 캡핑하도록 사용될 수 있다.
자외선 및 원격 플라즈마 처리의 조합은 단일 프로세싱 챔버에서, 또는 복수의 프로세싱 챔버에서 수행될 수 있다. 일 실시예에서, 자외선 및 원격 플라즈마 처리는 프로세싱 챔버에 커플링된 인바운드 또는 아웃바운드 로드 락에서 모두 수행될 수 있다. 대안의 실시예에서, 자외선 열 처리(UVTP) 시스템이 자외선 처리용으로 사용될 수 있고, 원격 플라즈마 처리는 UVTP 시스템에 커플링된 반출 로드 락에서 수행될 수 있다.
자외선 광이 경화 단계를 갖는 프로세스에 사용될 수 있는 다른 예는 폴리머를 경화하는 경우다. 폴리머를 자외선광에 노출하면 필름 내 폴리머의 가교 결합이 촉진된다고 알려져 있고, 이러한 프로세스는 경도 향상, 열 안정성 개선, 필름 응집력 개선, 및 필름의 후속 가스 제거 감소와 연계된다. 폴리머는 CVD 챔버에서 증착될 수 있고, 그 후, 자외선 광에 노출함으로써 반출 로드 락에서 경화될 수 있다. 대안으로서, 자외선 경화가 후속 챔버 상의 반입 로드 락에서 일어날 수 있다. 대안의 실시예로서, 분자 및/또는 폴리머가 로드 락의 가스 유입구에 커플링된 다-채널 가스 박스 내로 들어가는 추가적인 로드 밸브를 더함으로써 로드 락에 반입될 수 있다. 로드 밸브를 통해 유입되는 분자 및/또는 폴리머는 웨이퍼 표면 상에서 반응하거나 증착될 수 있고, 그 후 자외선 광으로 경화될 수 있다.
원격 플라즈마 처리는 목표된되는 화학적 반응성을 갖는 웨이퍼 표면에 좌우되는 후속 프로세스를 위해 표면을 화학적으로 준비하는데 또한 사용될 수 있다. 예를 들어, 표면은 수소 원격 플라즈마에 대한 노출을 통해 ALD 프로세스를 위해 준비될 수 있어서, 수소 원자로 표면을 종료시키게 된다. 플루오르 및 황과 같은 다른 적절한 표면 종결물은, 표면 상에 바람직한 핵생성 특성을 달성하도록 앞서와 유사한 방식으로 준비될 수 있다. 마찬가지로, 목표된하는 모노층 물질이 유사한 방식으로 웨이퍼 표면으로부터 제거되거나 구성될 수 있다. 다양한 구체적 예에서 논의한 바와 같이, 원격 플라즈마 처리를 포함한 복수의 프로세스들이 로드 락 내에서 수행되어 필름 증착 프로세스 이전 또는 이후에 표면을 처리할 수 있다. 예를 들어, 로드 락이 가열된 페데스탈, 원격 플라즈마 시스템, 및 자외선 광 시스템을 포함할 경우, 웨이퍼는 목표된 온도에 놓이게 되고, 원격 플라즈마로 처리되며, 로드 락 내에서 미리 자외선광으로 처리될 수 있다. 로드 락이 인바운드 로드 락일 경우, 이러한 처리 과정의 조합이 사용되어, 예를 들어, CMP 프로세스 이후 표면으로부터 불안정한 탄소 및 산화구리를 제거할 수 있다. 마찬가지로, 로드 락이 아웃바운드 로드 락일 경우, 이러한 처리 과정의 조합이 사용되어, 예를 들어, 저-k 유전체의 표면층을 세정 및 조밀화시킬 수 있다. 이러한 단계들이 순차적으로 또는 동시에 조합되어 웨이퍼를 임의의 적절한 방식으로 처리할 수 있다.
일부 경우에, 웨이퍼 표면의 원격 플라즈마 세정과 표면 상의 후속 필름 증착 사이에서 웨이퍼가 진공을 파괴하는 상황에서 원격 플라즈마 프로세싱이 사용될 수 있다. 웨이퍼 표면이 대기 가스에 반응하지 않을 경우, 진공 파괴는 어떤 해로운 부작용없이 사용될 수 있다. 예를 들어, 대기 노출이 탄소를 웨이퍼 표면으로 복귀시키지 않을 것이기 때문에, 후속 단계에서 불안정 탄소를 제거하고 있을 때 진공 파괴가 사용될 수 있다. 다른 예로서, 노출된 알루미늄이 천천히 산화되기 때문에, 알루미늄 표면의 원격 플라즈마 처리 이후 진공 파괴는 해롭지 않을 수 있다. 다른 경우에, 구리 표면 처리에 대해 앞서 설명한 바와 같이, 세정된 표면이 진공 환경으로부터 제거될 경우 재오염에 빠질 수 있기 때문에, 원격 플라즈마 프로세싱과 후속 증착 프로세스 사이에서 진공이 유지될 수 있다.
원격 플라즈마 처리(및 일부 실시예에서, 자외선 처리)를 포함하는 로드 락이, 어떤 적절한 프로세싱 챔버를 이용하여 인바운드 및/또는 아웃바운드 웨이퍼 프로세싱에 사용될 수 있다. 비-제한적인 예로는, 이로 제한되는 것은 아니지만, PECVD, CVD, ALD, PEALD, UVTP, 및 e-빔 챔버, 등이 있다.
일부 실시예에서, 개시되는 실시예는 클러스터 툴에 사용되어, 단일 로드 락이 진공 환경 내 복수의 프로세스 챔버에 대한 액세스를 제어한다. 도 10은 프로세싱 챔버(1010, 1020), 이송 모듈(1030), 로드 락(1040), 및 전방 단부(1090)를 포함하는 일례의 클러스터 툴(1000)을 도시한다. 포트(1012, 1022)는 이송 모듈(1030)을 프로세싱 챔버(1010, 1020)에 각각 커플링한다. 로봇(1032)은 프로세싱 챔버(1010), 프로세싱 챔버(1020), 및 로드 락(1040) 사이에서 웨이퍼를 이동하는데 사용될 수 있다. 진공 포트(1042, 1044)는 로드 락(1040)을 이송 모듈(1030)에 커플링한다. 프로세싱 챔버(1010, 1020)와 이송 모듈(1030)은 진공 하에 있고 전방 단부(1090)는 대기압에 놓여 있다. 전방 단부(1090)는 로봇(1050)을 포함하고, 웨이퍼 카세트(1060, 1070, 1080)와 계면을 형성하도록 구성된다. 로봇(1050)은 카세트(1060, 1070, 1080)와 로드 락(1040) 사이에서 웨이퍼를 이동시키도록 구성된다. 웨이퍼는 대기 포트(1046, 1048)를 통해 로봇(1050)에 의해 로드 락(1040)에 배치된다.
일부 실시예에서, 로드 락(1040)은 원격 플라즈마 소스 및/또는 자외선 광원을 구비할 수 있어서, 로드 락(1040)이 원격 플라즈마 및 자외선 처리와, 대기압과 진공 사이의 브리지로 기여하는데 사용될 수 있다.
다른 실시예에서, 하나 이상의 프로세싱 챔버, 또는, 프로세싱 챔버의 스테이션은, 원격 플라즈마 프로세싱을 수행하도록 구성될 수 있다. 도시되는 바와 같이, 프로세싱 챔버(1010, 1020) 각각은 4개의 프로세싱 스테이션을 포함한다. 4개의 스테이션이 단일 기능을 수행하도록 구성될 수도 있고, 스테이션이 서로 다르게 구성될 수도 있다. 따라서, 스테이션 중 하나 이상이 원격 플라즈마 소스 및/또는 자외선 광원을 구비하여, 스테이션이 원격 플라즈마 및/또는 자외선 처리를 인 시츄 수행할 수 있게 된다.
여기서 설명되는 반도체 소자 제작 프로세스의 계면 표면의 원격 플라즈마 처리를 위한 구조 및/또는 기법들은 예시적인 사항에 불과하며, 수많은 변형이 가능하기에, 이러한 구체적 실시예나 예가 제한적인 측면에서 간주되어서는 안된다. 예를 들어, 상술한 로드 락 중 임의의 것이 원격 플라즈마 소스에 추가하여 자외선 광원을 포함할 수 있다. 이로써, 경화 단계, 가열 단계, 등이 원격 플라즈마 처리와 동일한 프로세싱 영역에서 수행될 수 있게 된다.
본 발명의 주제는 다양한 프로세스, 시스템, 및 구조의 모든 신규성 및 진보성의 조합 및 서브조합들을 포함하며, 여기서 설명되는 다른 특징, 기능, 작용, 및/또는 특성과 그 등가물을 포함한다.
Claims (20)
- 반도체 프로세싱 장치에 있어서,
프로세싱 챔버와,
이송 포트를 통해 상기 프로세싱 챔버에 커플링되는 로드 락(load lock)과,
상기 로드 락 내에 배치되고 상기 로드 락 내에서 웨이퍼를 지지하도록 구성되는 웨이퍼 페데스탈(wafer pedestal)과,
상기 로드 락에 원격 플라즈마를 제공하도록 구성되는 원격 플라즈마 소스와
상기 원격 플라즈마로부터의 흐름으로부터의 이온들을 여과하도록 구성되는 이온 필터를 포함하는, 반도체 프로세싱 장치. - 제 1 항에 있어서,
상기 프로세싱 챔버는 PECVD 프로세싱 챔버이고, 상기 로드 락은 인바운드 로드 락(inbound load lock)인, 반도체 프로세싱 장치. - 제 2 항에 있어서,
상기 PECVD 프로세싱 챔버는 식각 정지 필름을 증착하도록 구성되는, 반도체 프로세싱 장치. - 제 2 항에 있어서,
상기 PECVD 프로세싱 챔버는 AHM(Ashable Hard Mask) 필름을 증착하도록 구성되는, 반도체 프로세싱 장치. - 제 1 항에 있어서,
상기 원격 플라즈마 소스는 상기 웨이퍼 페데스탈의 웨이퍼-지지 표면에 대해 법선 방향으로 원격 플라즈마의 유동을 지향시키도록 구성되는 유출구를 포함하는, 반도체 프로세싱 장치. - 제 5 항에 있어서,
상기 원격 플라즈마 소스의 유출구의 직경은 로드 락에 사용하기 위한 웨이퍼의 직경보다 크거나 동일한, 반도체 프로세싱 장치. - 제 1 항에 있어서,
상기 웨이퍼 페데스탈이 가열되는, 반도체 프로세싱 장치. - 삭제
- 제 1 항에 있어서,
상기 이온 필터는 대전 메시(charged mesh), 대전 벽체(charged wall), 원격 플라즈마 소스의 유출구에 걸쳐 배치되는 판, 및 전자 소스 중 하나 이상을 포함하고,
상기 판은 복수의 개구부를 포함하는, 반도체 프로세싱 장치. - 제 9 항에 있어서,
상기 이온 필터는 상기 원격 플라즈마 소스의 유출구에 걸쳐 배치되는 판을 포함하고, 상기 판 내 각각의 개구부는 3 이상의 직경에 대한 길이 비를 포함하는, 반도체 프로세싱 장치. - 제 1 항에 있어서,
상기 로드 락이 아웃바운드 로드 락(outbound load lock)인, 반도체 프로세싱 장치. - 제 11 항에 있어서,
상기 프로세싱 챔버는 저-k 유전체 물질 증착 챔버인, 반도체 프로세싱 장치. - 제 1 항에 있어서,
상기 프로세싱 챔버는 도금 챔버이고, 상기 로드 락은 인바운드 로드 락인, 반도체 프로세싱 장치. - 반도체 프로세싱 장치용 로드 락에 있어서, 상기 로드 락은,
대기 이송 포트 및 챔버 이송 포트와,
상기 로드 락 내부에 배치되고 상기 로드 락 내에서 웨이퍼를 지지하도록 구성되는, 가열된 웨이퍼 페데스탈과,
상기 로드 락에 커플링되고, 상기 웨이퍼 페데스탈의 웨이퍼 지지 표면에 대해 법선 방향으로 원격 플라즈마의 유동을 지향시키도록 구성되는 유출구를 포함하는, 원격 플라즈마 소스와,
상기 원격 플라즈마 소스로부터 상기 가열된 웨이퍼 페데스탈을 향해 유동하는 원격 플라즈마의 흐름으로부터 이온을 제거하도록 구성되는 이온 필터를 포함하는, 반도체 프로세싱 장치용 로드 락. - 제 14 항에 있어서,
상기 원격 플라즈마 소스의 유출구의 직경은 상기 로드 락에 사용하기 위한 웨이퍼의 직경보다 크거나 동일한, 반도체 프로세싱 장치용 로드 락. - 제 14 항에 있어서,
상기 이온 필터는 상기 원격 플라즈마 소스의 유출구에 걸쳐 배치되는 판을 포함하고, 상기 판은 각각 3 이상의 직경에 대한 길이 비를 갖는 복수의 개구부를 포함하는, 반도체 프로세싱 장치용 로드 락. - 제 14 항에 있어서,
상기 이온 필터는 대전 전도 메시, 대전 벽체, 및 전자 소스 중 하나 이상을 포함하는, 반도체 프로세싱 장치용 로드 락. - 제 14 항에 있어서,
상기 원격 플라즈마 소스는 유도성 결합 플라즈마 소스를 포함하는, 반도체 프로세싱 장치용 로드 락. - 제 1 항에 있어서,
상기 이온 필터는, 상기 웨이퍼의 표면에서 상기 웨이퍼에 법선 방향으로 원격 플라즈마의 흐름을 상기 웨이퍼의 표면 상으로 지향시키도록 더 구성되는, 반도체 프로세싱 장치. - 제 14 항에 있어서,
상기 이온 필터는, 상기 웨이퍼의 표면에서 상기 웨이퍼에 법선 방향으로 원격 플라즈마의 흐름을 상기 웨이퍼의 표면 상으로 지향시키도록 더 구성되는, 반도체 프로세싱 장치용 로드 락.
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/484,047 US8084339B2 (en) | 2009-06-12 | 2009-06-12 | Remote plasma processing of interface surfaces |
US12/484,047 | 2009-06-12 | ||
US12/533,960 US20100317198A1 (en) | 2009-06-12 | 2009-07-31 | Remote plasma processing of interface surfaces |
US12/533,960 | 2009-07-31 | ||
PCT/US2010/037115 WO2010144290A2 (en) | 2009-06-12 | 2010-06-02 | Remote plasma processing of interface surfaces |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20120034100A KR20120034100A (ko) | 2012-04-09 |
KR101698628B1 true KR101698628B1 (ko) | 2017-01-20 |
Family
ID=43306793
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020127000891A KR101698628B1 (ko) | 2009-06-12 | 2010-06-02 | 계면 표면의 원격 플라즈마 프로세싱 |
Country Status (5)
Country | Link |
---|---|
US (1) | US20100317198A1 (ko) |
KR (1) | KR101698628B1 (ko) |
CN (1) | CN102804338A (ko) |
TW (1) | TWI543246B (ko) |
WO (1) | WO2010144290A2 (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2023068466A1 (ko) * | 2021-10-19 | 2023-04-27 | 주식회사 한화 | 기판 처리 장치 및 이를 이용한 기판 처리 방법 |
Families Citing this family (394)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7253125B1 (en) | 2004-04-16 | 2007-08-07 | Novellus Systems, Inc. | Method to improve mechanical strength of low-k dielectric film using modulated UV exposure |
US9659769B1 (en) | 2004-10-22 | 2017-05-23 | Novellus Systems, Inc. | Tensile dielectric films using UV curing |
US8454750B1 (en) | 2005-04-26 | 2013-06-04 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8889233B1 (en) | 2005-04-26 | 2014-11-18 | Novellus Systems, Inc. | Method for reducing stress in porous dielectric films |
US8980769B1 (en) | 2005-04-26 | 2015-03-17 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US10037905B2 (en) * | 2009-11-12 | 2018-07-31 | Novellus Systems, Inc. | UV and reducing treatment for K recovery and surface clean in semiconductor processing |
US8465991B2 (en) | 2006-10-30 | 2013-06-18 | Novellus Systems, Inc. | Carbon containing low-k dielectric constant recovery using UV treatment |
US8211510B1 (en) | 2007-08-31 | 2012-07-03 | Novellus Systems, Inc. | Cascaded cure approach to fabricate highly tensile silicon nitride films |
US9050623B1 (en) | 2008-09-12 | 2015-06-09 | Novellus Systems, Inc. | Progressive UV cure |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8084339B2 (en) * | 2009-06-12 | 2011-12-27 | Novellus Systems, Inc. | Remote plasma processing of interface surfaces |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20110297088A1 (en) * | 2010-06-04 | 2011-12-08 | Texas Instruments Incorporated | Thin edge carrier ring |
US8758638B2 (en) * | 2011-05-10 | 2014-06-24 | Applied Materials, Inc. | Copper oxide removal techniques |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9234276B2 (en) | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US10325773B2 (en) | 2012-06-12 | 2019-06-18 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US10832904B2 (en) | 2012-06-12 | 2020-11-10 | Lam Research Corporation | Remote plasma based deposition of oxygen doped silicon carbide films |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20140124788A1 (en) * | 2012-11-06 | 2014-05-08 | Intermolecular, Inc. | Chemical Vapor Deposition System |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9865501B2 (en) | 2013-03-06 | 2018-01-09 | Lam Research Corporation | Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US20140271097A1 (en) * | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US20150001728A1 (en) * | 2013-06-26 | 2015-01-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Pre-treatment method for metal-oxide reduction and device formed |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9469912B2 (en) | 2014-04-21 | 2016-10-18 | Lam Research Corporation | Pretreatment method for photoresist wafer processing |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US20150376792A1 (en) * | 2014-06-30 | 2015-12-31 | Lam Research Corporation | Atmospheric plasma apparatus for semiconductor processing |
US20160017487A1 (en) * | 2014-07-15 | 2016-01-21 | Applied Materials, Inc. | Integrated pre-clean and deposition of low-damage layers |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
CN104183479A (zh) * | 2014-08-01 | 2014-12-03 | 上海华力微电子有限公司 | 氮掺杂碳化硅薄膜的反应设备及其制备方法 |
US20160042943A1 (en) * | 2014-08-07 | 2016-02-11 | Lam Research Corporation | Low-k dielectric film formation |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9472377B2 (en) | 2014-10-17 | 2016-10-18 | Lam Research Corporation | Method and apparatus for characterizing metal oxide reduction |
US9502255B2 (en) | 2014-10-17 | 2016-11-22 | Lam Research Corporation | Low-k damage repair and pore sealing agents with photosensitive end groups |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US11384432B2 (en) * | 2015-04-22 | 2022-07-12 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
CN106548957B (zh) * | 2015-09-18 | 2020-05-08 | 中微半导体设备(上海)股份有限公司 | 一种处理腔以及基片处理系统 |
CN106548958B (zh) * | 2015-09-18 | 2020-09-04 | 中微半导体设备(上海)股份有限公司 | 一种整合多功能腔以及基片处理系统 |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US9847221B1 (en) | 2016-09-29 | 2017-12-19 | Lam Research Corporation | Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US9837270B1 (en) * | 2016-12-16 | 2017-12-05 | Lam Research Corporation | Densification of silicon carbide film using remote plasma treatment |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10508351B2 (en) | 2017-03-16 | 2019-12-17 | Lam Research Corporation | Layer-by-layer deposition using hydrogen |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10443146B2 (en) | 2017-03-30 | 2019-10-15 | Lam Research Corporation | Monitoring surface oxide on seed layers during electroplating |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11049719B2 (en) * | 2017-08-30 | 2021-06-29 | Applied Materials, Inc. | Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR20200035186A (ko) * | 2017-08-30 | 2020-04-01 | 어플라이드 머티어리얼스, 인코포레이티드 | 통합 에피택시 시스템 고온 오염물 제거 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
JP7011947B2 (ja) * | 2018-01-29 | 2022-02-10 | 東京エレクトロン株式会社 | アッシング装置、アッシング方法及びコンピュータ読み取り可能な記録媒体 |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10840087B2 (en) | 2018-07-20 | 2020-11-17 | Lam Research Corporation | Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR20230085953A (ko) | 2018-10-19 | 2023-06-14 | 램 리써치 코포레이션 | 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210090482A (ko) * | 2020-01-10 | 2021-07-20 | 주성엔지니어링(주) | 챔버 세정 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US11605544B2 (en) | 2020-09-18 | 2023-03-14 | Applied Materials, Inc. | Methods and systems for cleaning high aspect ratio structures |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
WO2022144987A1 (ja) * | 2020-12-28 | 2022-07-07 | 株式会社荏原製作所 | めっき装置、およびめっき装置の動作制御方法 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN113913926A (zh) * | 2021-10-22 | 2022-01-11 | 西安奕斯伟材料科技有限公司 | 外延反应腔室的恢复方法、外延生长装置及外延晶圆 |
TW202412080A (zh) * | 2022-09-09 | 2024-03-16 | 美商應用材料股份有限公司 | 積體pvd鎢襯墊及無縫cvd鎢填充 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090014324A1 (en) * | 2006-10-26 | 2009-01-15 | Mark Naoshi Kawaguchi | Integrated apparatus for efficient removal of halogen residues from etched substrates |
US7504006B2 (en) | 2002-08-01 | 2009-03-17 | Applied Materials, Inc. | Self-ionized and capacitively-coupled plasma for sputtering and resputtering |
Family Cites Families (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5783100A (en) * | 1994-03-16 | 1998-07-21 | Micron Display Technology, Inc. | Method of high density plasma etching for semiconductor manufacture |
US5819434A (en) * | 1996-04-25 | 1998-10-13 | Applied Materials, Inc. | Etch enhancement using an improved gas distribution plate |
US6224680B1 (en) * | 1996-07-09 | 2001-05-01 | Gamma Precision Technology, Inc. | Wafer transfer system |
US5944940A (en) * | 1996-07-09 | 1999-08-31 | Gamma Precision Technology, Inc. | Wafer transfer system and method of using the same |
US6224312B1 (en) * | 1996-11-18 | 2001-05-01 | Applied Materials, Inc. | Optimal trajectory robot motion |
KR100287779B1 (ko) * | 1998-10-09 | 2001-04-16 | 황철주 | 반도체 제조장치 및 이를 이용한 반도체 제조방법 |
WO2000070666A1 (fr) * | 1999-05-14 | 2000-11-23 | Tokyo Electron Limited | Technique de traitement et dispositif correspondant |
US20020033233A1 (en) * | 1999-06-08 | 2002-03-21 | Stephen E. Savas | Icp reactor having a conically-shaped plasma-generating section |
US6949203B2 (en) * | 1999-12-28 | 2005-09-27 | Applied Materials, Inc. | System level in-situ integrated dielectric etch process particularly useful for copper dual damascene |
US6500357B1 (en) * | 1999-12-28 | 2002-12-31 | Applied Materials Inc. | System level in-situ integrated dielectric etch process particularly useful for copper dual damascene |
US6841006B2 (en) * | 2001-08-23 | 2005-01-11 | Applied Materials, Inc. | Atmospheric substrate processing apparatus for depositing multiple layers on a substrate |
US20040086434A1 (en) * | 2002-11-04 | 2004-05-06 | Gadgil Pradad N. | Apparatus and method for treating objects with radicals generated from plasma |
US6855225B1 (en) * | 2002-06-25 | 2005-02-15 | Novellus Systems, Inc. | Single-tube interlaced inductively coupling plasma source |
WO2004064147A2 (en) * | 2003-01-07 | 2004-07-29 | Applied Materials, Inc. | Integration of ald/cvd barriers with porous low k materials |
US7288292B2 (en) * | 2003-03-18 | 2007-10-30 | International Business Machines Corporation | Ultra low k (ULK) SiCOH film and method |
CN1299358C (zh) * | 2003-04-02 | 2007-02-07 | 联华电子股份有限公司 | 一种具有双层保护层的镶嵌金属内连线结构及其制造方法 |
KR100498494B1 (ko) * | 2003-04-08 | 2005-07-01 | 삼성전자주식회사 | 회전 이동 방식의 원격 플라즈마 강화 세정 장치 |
US7094613B2 (en) * | 2003-10-21 | 2006-08-22 | Applied Materials, Inc. | Method for controlling accuracy and repeatability of an etch process |
US7431795B2 (en) * | 2004-07-29 | 2008-10-07 | Applied Materials, Inc. | Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor |
US7695567B2 (en) * | 2006-02-10 | 2010-04-13 | Applied Materials, Inc. | Water vapor passivation of a wall facing a plasma |
US7960297B1 (en) * | 2006-12-07 | 2011-06-14 | Novellus Systems, Inc. | Load lock design for rapid wafer heating |
US7967996B2 (en) * | 2007-01-30 | 2011-06-28 | Applied Materials, Inc. | Process for wafer backside polymer removal and wafer front side photoresist removal |
US8242028B1 (en) * | 2007-04-03 | 2012-08-14 | Novellus Systems, Inc. | UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement |
US7622162B1 (en) * | 2007-06-07 | 2009-11-24 | Novellus Systems, Inc. | UV treatment of STI films for increasing tensile stress |
US8084339B2 (en) * | 2009-06-12 | 2011-12-27 | Novellus Systems, Inc. | Remote plasma processing of interface surfaces |
US20120211029A1 (en) * | 2011-02-22 | 2012-08-23 | Pandit Viraj S | Load lock assembly and method for particle reduction |
-
2009
- 2009-07-31 US US12/533,960 patent/US20100317198A1/en not_active Abandoned
-
2010
- 2010-06-02 CN CN2010800261552A patent/CN102804338A/zh active Pending
- 2010-06-02 KR KR1020127000891A patent/KR101698628B1/ko active IP Right Grant
- 2010-06-02 WO PCT/US2010/037115 patent/WO2010144290A2/en active Application Filing
- 2010-06-07 TW TW099118327A patent/TWI543246B/zh active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7504006B2 (en) | 2002-08-01 | 2009-03-17 | Applied Materials, Inc. | Self-ionized and capacitively-coupled plasma for sputtering and resputtering |
US20090014324A1 (en) * | 2006-10-26 | 2009-01-15 | Mark Naoshi Kawaguchi | Integrated apparatus for efficient removal of halogen residues from etched substrates |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2023068466A1 (ko) * | 2021-10-19 | 2023-04-27 | 주식회사 한화 | 기판 처리 장치 및 이를 이용한 기판 처리 방법 |
Also Published As
Publication number | Publication date |
---|---|
KR20120034100A (ko) | 2012-04-09 |
WO2010144290A3 (en) | 2011-02-24 |
US20100317198A1 (en) | 2010-12-16 |
WO2010144290A2 (en) | 2010-12-16 |
TW201118934A (en) | 2011-06-01 |
TWI543246B (zh) | 2016-07-21 |
CN102804338A (zh) | 2012-11-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101698628B1 (ko) | 계면 표면의 원격 플라즈마 프로세싱 | |
US8084339B2 (en) | Remote plasma processing of interface surfaces | |
JP4503356B2 (ja) | 基板処理方法および半導体装置の製造方法 | |
US9418889B2 (en) | Selective formation of dielectric barriers for metal interconnects in semiconductor devices | |
US7851232B2 (en) | UV treatment for carbon-containing low-k dielectric repair in semiconductor processing | |
US7700479B2 (en) | Cleaning processes in the formation of integrated circuit interconnect structures | |
US9379210B2 (en) | Sacrificial pre-metal dielectric for self-aligned contact scheme | |
TWI402964B (zh) | 層間絕緣膜及配線構造與此等之製造方法 | |
US11791181B2 (en) | Methods for the treatment of workpieces | |
US8278205B2 (en) | Semiconductor device and method for manufacturing the same | |
JP5522979B2 (ja) | 成膜方法及び処理システム | |
US20110111533A1 (en) | Uv and reducing treatment for k recovery and surface clean in semiconductor processing | |
TW201117321A (en) | Interfacial capping layers for interconnects | |
JP6821607B2 (ja) | 側壁ポアの封止とビアの清浄性のための配線集積化 | |
US8084356B2 (en) | Methods of low-K dielectric and metal process integration | |
US7745335B2 (en) | Semiconductor device manufactured by reducing hillock formation in metal interconnects | |
CN100517600C (zh) | 介质层的形成方法 | |
US7763538B2 (en) | Dual plasma treatment barrier film to reduce low-k damage | |
US20190148150A1 (en) | Methods for forming capping protection for an interconnection structure | |
KR20240113592A (ko) | 산화텅스텐 제거를 위한 플루오린화텅스텐 침지 및 처리 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E90F | Notification of reason for final refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant |