KR20210090482A - 챔버 세정 방법 - Google Patents

챔버 세정 방법 Download PDF

Info

Publication number
KR20210090482A
KR20210090482A KR1020200003827A KR20200003827A KR20210090482A KR 20210090482 A KR20210090482 A KR 20210090482A KR 1020200003827 A KR1020200003827 A KR 1020200003827A KR 20200003827 A KR20200003827 A KR 20200003827A KR 20210090482 A KR20210090482 A KR 20210090482A
Authority
KR
South Korea
Prior art keywords
gas
chamber
cleaning
component
plasmaized
Prior art date
Application number
KR1020200003827A
Other languages
English (en)
Inventor
조원태
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR1020200003827A priority Critical patent/KR20210090482A/ko
Priority to US17/791,878 priority patent/US20230032039A1/en
Priority to PCT/KR2021/000248 priority patent/WO2021141438A1/ko
Priority to TW110100840A priority patent/TW202133215A/zh
Priority to CN202180008126.1A priority patent/CN114930491A/zh
Priority to JP2022542287A priority patent/JP2023510536A/ja
Publication of KR20210090482A publication Critical patent/KR20210090482A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 챔버 세정 방법에 관한 것으로서, 보다 상세하게는 기판 상에 박막을 증착하는 과정에서 오염되는 챔버를 세정할 수 있는 챔버 세정 방법에 관한 것이다.
본 발명의 실시 예에 따른 챔버 세정 방법은 박막을 증착하는 챔버를 세정하는 방법으로서, 상기 챔버 내부에서 플라즈마화된 제1 가스로 상기 챔버를 1차 세정하는 단계; 및 상기 챔버 외부에서 플라즈마화된 제2 가스를 상기 챔버 내부로 공급하여 상기 플라즈마화된 제1 가스를 활성화하여 상기 챔버를 2차 세정하는 단계;를 포함하고, 상기 제2 가스는 상기 제1 가스에 대하여 비반응성인 가스를 포함한다.

Description

챔버 세정 방법{METHOD FOR CLEANING CHAMBER}
본 발명은 챔버 세정 방법에 관한 것으로서, 보다 상세하게는 기판 상에 박막을 증착하는 과정에서 오염되는 챔버를 세정할 수 있는 챔버 세정 방법에 관한 것이다.
일반적으로 반도체 소자는 기판 상에 여러 가지 물질을 박막 형태로 증착하고 이를 패터닝하여 제조된다. 이를 위하여 증착 공정, 식각 공정, 세정 공정, 및 건조 공정 등 여러 단계의 서로 다른 공정이 수행된다. 여기서, 증착 공정은 기판 상에 반도체 소자로서 요구되는 성질을 가지는 박막을 형성하기 위한 것이다. 그러나, 박막 형성을 위한 증착 공정 중에는 기판 상의 원하는 영역 뿐만 아니라, 증착 공정이 수행되는 챔버 내부에도 증착물을 포함하는 부산물이 퇴적된다.
챔버 내부에 퇴적되는 부산물들은 그 두께가 증가하면 박리되어 파티클 (particle) 발생의 원인이 된다. 이와 같이 발생된 파티클은 기판 상에 형성되는 박막 내에 들어가거나, 박막 표면에 부착되어 반도체 소자의 결함 원인으로 작용하여 제품의 불량률을 높인다. 따라서, 이러한 부산물들이 박리되기 이전에 챔버 내부에 퇴적된 부산물을 제거할 필요가 있다.
유기 금속 화학 기상 증착(MOCVD: Metal-Organic Chemical Vapor Deposition)의 경우, 증착 과정에서 챔버 내부에 퇴적되는 부산물을 제거하기 위하여 챔버 세정 공정을 주기적으로 진행한다. 유기 금속 화학 기상 증착을 수행하는 기판 처리 장치의 경우, 챔버 내부의 부산물은 세정액을 이용한 습식 식각 방방식이나 세정 가스를 이용한 건식 식각 방식으로 제거될 수 있다. 챔버 내부에 퇴적되는 부산물에 금속이 포함되는 경우, 세정 가스를 이용한 건식 식각이 용이하지 않는 경우가 많아, 유기 금속 화학 기상 증착을 수행하는 기판 처리 장치의 경우, 챔버 내부는 주로 습식 식각에 의하여 세정된다. 습식 식각에 의한 세정은 챔버를 오픈한 상태에서 작업자가 직접 수작업으로 세정을 수행하는 경우가 대부분으로, 세정 비용이 증가하고 장치 재현성 및 가동률의 확보가 어려운 문제점이 있다.
KR 10-2011-7011433 A
본 발명은 기판 상에 박막을 증착한 후 내부에 부산물이 퇴적된 챔버를 효율적으로 세정할 수 있는 챔버 세정 방법을 제공한다.
본 발명은 유기 금속 기상 증착을 수행하는 기판 처리 장치의 챔버 내부에 퇴적된 금속을 포함한 부산물을 효율적으로 세정할 수 있는 챔버 세정 방법을 제공한다.
본 발명의 실시 예에 따른 챔버 세정 방법은 박막을 증착하는 챔버를 세정하는 방법으로서, 상기 챔버 내부에서 플라즈마화된 제1 가스로 상기 챔버를 1차 세정하는 단계; 및 상기 챔버 외부에서 플라즈마화된 제2 가스를 상기 챔버 내부로 공급하여 상기 플라즈마화된 제1 가스를 활성화하여 상기 챔버를 2차 세정하는 단계;를 포함하고, 상기 제2 가스는 상기 제1 가스에 대하여 비반응성인 가스를 포함한다.
상기 챔버를 1차 세정하는 단계는 상기 챔버 내에서 직접 플라즈마를 형성하여 이루어지고, 상기 챔버를 2차 세정하는 단계는 상기 챔버 내로 원격 플라즈마를 공급하여 이루어질 수 있다.
상기 제1 가스는 염소 성분을 함유하고, 상기 제2 가스는 질소 가스, 아르곤 가스, 헬륨 가스 및 산소 가스 중 적어도 하나의 가스를 포함할 수 있다.
상기 챔버 내에는 상기 제1 가스를 분사하기 위한 가스 분사부가 설치되고, 상기 챔버를 1차 세정하는 단계 및 상기 챔버를 2차 세정하는 단계는 상기 가스 분사부의 온도를 200℃ 이상으로 조절하여 이루어질 수 있다.
상기 챔버를 1차 세정하는 단계는, 상기 챔버 내에 제1 성분 가스와 제2 성분 가스를 분리하여 공급하는 단계; 상기 제1 성분 가스 및 제2 성분 가스를 상기 챔버 내에서 플라즈마화시키고, 반응시켜 플라즈마화된 제1 가스를 생성하는 단계; 및 상기 플라즈마화된 제1 가스로 상기 챔버 내의 부산물을 1차적으로 제거하는 단계;를 포함할 수 있다.
상기 플라즈마화된 제1 가스를 생성하는 단계는, 상기 제1 성분 가스를 상기 가스 분사부의 외부에서 플라즈마화시키고, 상기 제2 성분 가스를 상기 가스 분사부의 내부에서 플라즈마화시킬 수 있다.
상기 플라즈마화된 제1 성분 가스 및 제2 성분 가스를 상기 가스 분사부의 외부에서 반응시킬 수 있다.
상기 챔버를 2차 세정하는 단계 이후에, 상기 챔버 내에 잔류하는 염소 성분을 제거하는 단계;를 더 포함할 수 있다.
상기 박막 및 상기 챔버 내의 부산물은 금속 산화물을 포함할 수 있다.
본 발명의 실시 예에 따른 챔버 세정 방법에 의하면, 챔버 내부에서 플라즈마화된 제1 가스로 챔버를 1차 세정하고 난 후, 챔버 외부에서 플라즈마화된 제2 가스를 챔버 내에 공급하여 챔버 내부에서 플라즈마화된 제1 가스를 활성화시켜 챔버를 2차 세정할 수 있다. 이에 의하여, 챔버 내에 잔류하는 각종 부산물들이 단계적으로 제거될 수 있게 되어 세정 효율을 극대화시킬 수 있다. 특히, 유기 금속 기상 증착을 수행하는 기판 처리 장치의 챔버 내부에 퇴적된 금속을 포함한 부산물을 효율적으로 세정할 수 있다.
또한, 본 발명의 실시 예에 따른 챔버 세정 방법에 의하면, 챔버 내부의 온도를 과도하게 증가시키지 않으면서 챔버 내부의 부산물을 제거할 수 있다. 즉, 플라즈마화된 제2 가스에 의하여 플라즈마화된 제1 가스에 활성화 에너지를 공급함으로써 챔버 내부의 온도를 상대적으로 저온으로 유지한 상태에서 부산물을 제거할 수 있으며, 이는 저온 유지가 필수적인 봉지 공정 등에 적용되는 기판 처리 장치에 있어서 특히 유효하다.
뿐만 아니라, 본 발명의 실시 예에 챔버 세정 방법에 의하면, 빈번한 세정이 요구되는 화학 기상 증착 공정에서 챔버를 오픈하지 않고 인-시투 세정이 가능하게 되어, 작업 능률의 향상 및 높은 장치 재현성과 가동률을 확보할 수 있다.
도 1은 본 발명의 실시 예에 따른 기판 처리 장치를 개략적으로 나타내는 도면.
도 2는 본 발명의 실시 예에 따른 가스 분사부를 개략적으로 나타내는 도면.
도 3은 도 2에 도시된 가스 분사부를 분해하여 나타내는 도면.
도 4는 본 발명의 실시 예에 따라 직접 플라즈마가 형성되는 모습을 나타내는 도면.
도 5는 본 발명의 실시 예에 따른 챔버 세정 방법을 개략적으로 나타내는 도면.
이하, 첨부된 도면을 참조하여 본 발명의 실시 예들을 상세히 설명하기로 한다. 그러나 본 발명은 이하에서 개시되는 실시 예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 발명의 실시 예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 발명을 상세하게 설명하기 위해 도면은 과장되어 도시될 수 있으며, 도면상에서 동일 부호는 동일한 요소를 지칭한다.
도 1은 본 발명의 실시 예에 따른 기판 처리 장치를 개략적으로 나타내는 도면이다. 또한, 도 2는 본 발명의 실시 예에 따른 가스 분사부를 개략적으로 나타내는 도면이고, 도 3은 도 2에 도시된 가스 분사부를 분해하여 나타내는 도면이다.
도 1 내지 도 3을 참조하면, 본 발명의 실시 예에 따른 기판 처리 장치는, 챔버(10) 및 상기 챔버(10) 내부에 설치되어 가스를 공급하기 위한 가스 공급 경로가 형성되는 가스 분사부(300)를 포함한다. 또한, 상기 기판 처리 장치는 상기 가스 분사부(300)와 연결되어 상기 가스 분사부(300)에 전원을 인가하기 위한 전원 공급부(미도시) 및 상기 챔버(10) 외부에 설치되는 원격 플라즈마 발생부(400)를 더 포함할 수 있으며, 이외에도 제1 성분 가스를 제공하는 제1 가스 제공부(미도시), 제2 성분 가스를 제공하는 제2 가스 제공부(미도시) 및 상기 전원 공급부를 제어하는 제어부(미도시)를 더 포함할 수도 있다. 여기서, 상기 챔버(10) 내에는 적어도 하나의 기판을 지지하는 기판 지지부(20)가 설치될 수 있다.
본 발명의 실시 예에 따른 기판 처리 장치는 챔버(10)의 세정 주기가 도달하면, 박막 증착 공정을 완료 한 뒤, 상기 챔버(10)를 오픈하지 않고 진공 중에서 세정 공정을 연속적으로 수행한다. 상기 챔버(10) 내에 기판(S)을 인입시켜 상기 기판(S) 상에 박막을 증착시키고, 박막 증착 공정이 완료되면 상기 챔버(10)로부터 상기 기판(S)을 배출시킨 후 상기 챔버(10) 내부를 세정하기 위한 세정 공정을 연속적으로 수행한다. 이와 같은 세정 공정이 완료되면 상기 챔버(10) 내에 또 다른 기판(S)을 인입시키고, 다시 박막 증착 공정을 수행할 수 있다. 이 과정에서 상기 챔버(10)는 박막 증착 공정을 수행하기 위한 압력 조건에서 상기 챔버(10)를 오픈하기 위한 조건인 압력 조건으로의 변화가 없이 수행된다.
여기서, 박막 증착 공정은 기판(S) 상에 인듐(In) 및 갈륨(Ga) 중 적어도 하나가 도핑된 아연 산화물, 예를 들어 IZO, GZO, IGZO 등의 금속 산화물을 증착하는 공정일 수 있으며, 이 경우 상기 챔버(10) 내에 퇴적되는 부산물은 인듐(In) 및 갈륨(Ga) 중 적어도 하나 도핑된 아연 산화물 등의 금속 산화물을 포함할 수 있다.
제1 성분 가스 제공부 및 제2 성분 가스 제공부는 각각 상기 챔버(10)의 외부에 설치될 수 있으며, 제1 성분 가스 및 제2 성분 가스를 상기 가스 분사부(300)에 제공한다. 박막 증착 공정에서 상기 제1 성분 가스 및 상기 제2 성분 가스는 박막의 성분을 이루는 원료 가스를 포함할 수 있으며, 세정 공정에서 상기 제1 성분 가스 및 상기 제2 성분 가스는 세정 가스, 즉 후술되는 챔버(10)를 1차 세정하는 단계(S100)에서 제1 가스의 성분을 이루는 세정 가스를 포함할 수 있다. 여기서, 제1 가스 제공부 및 제2 가스 제공부는 각각 반드시 하나의 가스를 제공하는 것은 아니며, 제1 가스 제공부 및 제2 가스 제공부는 각각 복수의 가스를 동시에 공급하거나, 복수의 가스 중 선택된 가스를 공급하도록 구성될 수 있다.
예를 들어, 제1 가스 제공부는 제1 원료 가스 또는 제1 세정 가스를 선택적으로 공급하도록 구성될 수 있으며, 제2 가스 제공부는 제2 원료 가스 또는 제2 세정 가스를 선택적으로 공급하도록 구성될 수 있다. 또한, 제1 가스 제공부는 복수의 제1 원료 가스를 동시에 공급하거나, 복수의 제1 원료 가스 중 선택된 제1 원료 가스를 공급하도록 구성될 수 있으며, 이는 제2 가스 제공부의 경우에도 동일하다.
여기서, 제1 원료 가스는 금속 원소를 포함하는 유기 소스 일 수 있다. 예를 들어, 제1 원료 가스는 인듐(In)을 원료 물질로 함유하는 가스, 갈륨(Ga)을 원료 물질로 함유하는 가스 및 아연(Zn)을 원료 물질로 함유하는 가스 중 적어도 하나 이상을 포함하는 가스일 수 있으며, 제2 원료 가스는 상기 제1 원료 가스와 반응하는 가스를 포함할 수 있다.
또한, 제1 세정 가스는 염소(Cl) 성분을 함유하는 가스를 포함할 수 있으며, 제2 세정 가스는 염소(Cl) 성분을 함유하는 가스 또는 상기 제1 세정 가스와 상이한 성분으로 이루어지며, 상기 제1 세정 가스의 염소(Cl) 성분과 반응하는 성분을 함유하는 가스를 포함할 수 있다. 이때, 제1 세정 가스와 제2 세정 가스가 반응하여 생성되는 제1 가스는 Cl2, HCl 또는 BCl3를 포함할 수 있다.
한편, 이와 같은 제1 원료 가스, 제2 원료 가스, 제1 세정 가스 및 제2 세정 가스는 상기와 같이 한정되는 것은 아니며 필요에 따라 다양한 종류의 가스를 사용할 수 있음은 물론이다.
상기 가스 분사부(300)는 상기 챔버(10) 내부, 예를 들어 챔버 리드(12)의 하면에 설치되어, 제1 가스를 공급하기 위한 제1 가스 공급 경로(110) 및 제2 가스를 공급하기 위한 제2 가스 공급 경로(210)를 포함할 수 있다. 상기 제1 가스 공급 경로(110) 및 상기 제2 가스 공급 경로(210)는 서로 독립적이고 분리되도록 형성되어, 상기 제1 가스 및 상기 제2 가스를 혼합되지 않도록 상기 챔버(10) 내부로 분리하여 공급할 수 있다.
상기 가스 분사부(300)는 상부 프레임(310) 및 하부 프레임(320)을 포함할 수 있다. 여기서, 상기 상부 프레임(310)은 상기 챔버 리드(12)의 하면에 착탈 가능하게 결함됨과 동시에 상면의 일부, 예를 들어 상면의 중심부가 상기 챔버 리드(12)의 하면으로부터 소정 거리로 이격된다. 이에 따라 상기 상부 프레임(310)의 상면과 상기 챔버 리드(12)의 하면 사이의 공간에서 제1 가스 제공부로부터 제공되는 제1 가스가 확산될 수 있다. 또한, 상기 하부 프레임(320)은 상기 상부 프레임(310)의 하면에 일정 간격 이격되어 설치된다. 이에 따라 상기 하부 프레임(320)의 상면과 상기 상부 프레임(310)의 하면 사이의 공간에서 제2 가스 제공부로부터 제공되는 제2 가스가 확산될 수 있다. 상기 상부 프레임(310)과 상기 하부 프레임(320)은 외주면을 따라 연결되어 내부에 이격 공간을 형성하여 일체로 형성될 수 있으며, 별도의 밀봉 부재(350)에 의하여 외주면을 밀폐하는 구조로 이루어질 수도 있음은 물론이다.
상기 제1 가스 공급 경로(110)는 제1 가스 제공부로부터 제공되는 제1 가스가 상기 챔버 리드(12)의 하면과 상기 상부 프레임(310) 사이의 공간에서 확산되어, 상기 상부 프레임(310) 및 상기 하부 프레임(320)을 관통하여 챔버(10) 내부로 공급되도록 형성될 수 있다. 또한, 상기 제2 가스 공급 경로(210)는 제2 가스 제공부로부터 제공되는 제2 가스가 상기 상부 프레임(310)의 하면과 상기 하부 프레임(320)의 상면 사이의 공간에서 확산되어 상기 하부 프레임(320)을 관통하여 챔버(10) 내부로 공급되도록 형성될 수 있다. 상기 제1 가스 공급 경로(110) 및 상기 제2 가스 공급 경로(210)는 상호 연통되지 않을 수 있으며, 이에 의하여 상기 제1 가스 및 상기 제2 가스는 상기 가스 분사부(300)로부터 상기 챔버(10) 내부에 분리하여 공급될 수 있다.
상기 상부 프레임(310) 및 상기 하부 프레임(320) 중 적어도 하나의 내부에는 온도 조절 수단(312)이 설치될 수 있다. 도 1에서는 온도 조절 수단(312)이 상부 프레임(310)에 설치되는 구조를 도시하였으나, 상기 온도 조절 수단(312)은 상기 하부 프레임에(320)에 설치될 수도 있으며, 상기 상부 프레임(310)과 상기 하부 프레임(320)에 각각 설치될 수도 있다.
여기서, 상기 온도 조절 수단(312)은 상기 가스 분사부(300)를 직접 가열하기 위한 히팅(heating) 수단을 포함할 수 있다. 이때, 상기 히팅 수단은 저항 가열선을 포함한 가열 수단일 수도있고, 그외 가열 방식을 채택한 가열 수단일 수도 있다. 또한, 상기 히팅 수단은 히팅 라인(heating line)으로 형성될 수 있다.
또한, 상기 히팅 수단은 상기 상부 프레임(310) 및 상기 하부 프레임(320) 중 적어도 하나에 설치될 수 있으며, 복수 개의 영역을 가열하도록 분할되어 설치될 수 있다. 이때, 복수 개로 분할되어 설치되는 히팅 수단은 상기 상부 프레임(310) 및 상기 하부 프레임(320) 중 적어도 하나를 영역별로 가열할 수 있다. 예를 들어, 상기 히팅 수단은 상기 상부 프레임(310) 및 상기 하부 프레임(320) 중 적어도 하나에서 2개, 3개 또는 4개의 영역에 각각 설치될 수 있으며, 상기 챔버(10) 내부의 중심측에 비하여 보다 낮은 온도를 가지는 챔버 벽 측의 온도를 더 높이기 위하여 챔버 벽에 인접할 수록 보다 많은 히팅 수단이 배치될 수 있다.
전술한 바와 같이 상기 히팅 수단은 상기 상부 프레임(310)과 상기 하부 프레임(320)에 각각 설치될 수 있으며, 이때 상기 상부 프레임(310)의 내부에 설치되는 히팅 수단을 제1 히팅 수단, 상기 하부 프레임(320)의 내부에 설치되는 히팅 수단을 제2 히팅 수단이라 할 수 있다.
한편, 상기 온도 조절 수단(312)은 상기 가스 분사부(300)를 직접 냉각시키기 위한 쿨링(cooling) 수단을 포함할 수 있다. 상기 쿨링 수단은 냉각 유체를 순환시키는 쿨링 라인(cooling line)으로 형성될 수 있으며, 상기 히팅 수단에서 설명한 것과 동일하게 상기 상부 프레임(310) 및 상기 하부 프레임(320) 중 적어도 하나에 설치될 수 있으며 복수 개의 영역을 냉각하도록 분할되어 설치될 수 있다.
상기 상부 프레임(310)과 상기 하부 프레임(320) 중 어느 하나에는 전원 공급부로부터 RF 전력이 인가될 수 있다. 상기 상부 프레임(310)과 상기 하부 프레임(320)은 서로 마주보는 전극으로서, 상기 상부 프레임(310)은 제1 전극(310)이고, 상기 하부 프레임(320)은 상기 제1 전극(310)에 대해서 제2 전극(320)일 수 있다. 또한, 상기 제2 전극(320)은 복수 개의 관통부를 가질 수 있고, 상기 제1 전극(310)에는 상기 제2 전극(320)의 복수의 관통부를 향해 연장되어 돌출되는 복수 개의 돌출부(342)가 형성될 수 있다.
도 4는 본 발명의 실시 예에 따라 직접 플라즈마가 형성되는 모습을 나타내는 도면이다. 이하에서는 제1 전극(310) 및 기판 지지부(20)가 접지되고, 제2 전극(320)에 전원이 인가되는 것을 예로 들어 설명하나, 전원의 인가 구조는 이에 제한되지 않음은 물론이다.
도 4에 도시된 바와 같이 제1 성분 가스는 실선으로 도시된 화살표를 따라 챔버(10) 내에 공급되며, 제2 성분 가스는 점선으로 도시된 화살표를 따라 챔버(10) 내에 공급될 수 있다. 제1 성분 가스는 제1 전극(310)의 내부를 관통하여 챔버(10) 내부로 공급되며, 제2 성분 가스는 제1 전극(310)과 제2 전극(320) 사이의 이격 공간을 통하여 챔버(10) 내부로 공급될 수 있다. 상기 제1 성분 가스는 상기 제1 전극(310)의 복수 개의 돌출부(342)를 통하여 상기 챔버(10) 내부로 공급될 수 있다.
상기 제1 전극(310) 및 기판 지지부(20)가 접지되고, 상기 제2 전극(320)에 전원이 인가되는 경우 상기 가스 분사부(300)와 상기 기판 지지부(20) 사이에는 제1 직접 플라즈마를 발생시키기 위한 영역, 즉 제1 직접 플라즈마 영역(DP1)이 형성되고, 상기 제1 전극(310)과 상기 제2 전극(320) 사이에는 제2 직접 플라즈마를 발생시키기 위한 영역, 즉 제2 직접 플라즈마 영역(DP2)이 형성된다.
따라서, 상기 제1 성분 가스가 상기 제1 전극(310)을 관통하여 공급되는 경우, 상기 제1 성분 가스는 상기 가스 분사부(300)의 외부에 형성되는 제1 직접 플라즈마 영역(DP1)에서 플라즈마화된다. 또한, 상기 제2 성분 가스가 상기 제1 전극(310)과 상기 제2 전극(320) 사이의 이격 공간을 통하여 공급되는 경우, 상기 제2 성분 가스는 상기 가스 분사부(300)의 내부에 해당하는 상기 제1 전극(310)과 상기 제2 전극(320) 사이, 즉 제2 직접 플라즈마 영역(DP2)에서부터 제1 직접 플라즈마 영역(DP1)까지의 영역에 걸쳐 플라즈마화된다. 따라서, 본 발명의 실시 예에 따른 기판 처리 장치는 상기 제1 성분 가스와 상기 제2 성분 가스를 서로 다른 크기의 플라즈마 영역에서 플라즈마화시킬 수 있다. 또한, 상기 제1 성분 가스와 상기 제2 성분 가스가 서로 다른 크기의 플라즈마 영역에서 플라즈마화됨으로 인하여, 박막을 증착하거나 챔버(10)를 세정하기 위한 최적의 공급 경로로 각 성분 가스를 분배시킬 수 있다. 도 1 및 도 4에서는 기판 지지부(20) 상에 기판(S)이 안착되는 모습이 도시되었으나, 이는 기판(S) 상에 박막을 증착하는 경우에 적용되며, 챔버(10)의 세정시에 기판(S)은 반출되어 기판 지지부(20) 상에 배치되지 않을 수 있음은 물론이다.
한편, 본 발명의 실시 예에 따른 기판 처리 장치는 상기 챔버(10) 외부에 설치되는 원격 플라즈마 발생부(400)를 더 포함할 수 있다. 원격 플라즈마 발생부(400)은 챔버(10)의 외부에 설치되며, 원격 플라즈마 유입관(410)을 통해 챔버(10)와 연결된다. 원격 플라즈마 발생부(400)의 내부에는 원격 플라즈마를 발생시키기 위한 영역, 즉 원격 플라즈마 영역(RP)이 형성된다. 여기서, 원격 플라즈마 유입관(410)의 일측 단부는 원격 플라즈마 영역(RP)에 연통되고, 타측 단부는 챔버(10)의 내부 공간에 연통된다. 여기서, 원격 플라즈마 유입관(410)의 타측 단부는 상기 챔버(10)의 내부 공간으로 연장되어 내삽되도록 형성될 수도 있으며, 내삽된 원격 플라즈마 유입관(410)의 타측 단부는 상기 챔버(10)의 연장 방향으로 따라 왕복 이동이 가능하도록 설치될 수 있다. 한편, 도 1에서는 원격 플라즈마 발생부(400)가 챔버(10)의 측 방향으로 이격되어 설치되는 모습을 도시하였으나, 원격 플라즈마 발생부(400)는 챔버(10)의 종 방향 또는 측 방향 및 종 방향으로 각각 이격되어 설치될 수도 있음은 물론이다.
이하에서, 도 5를 참조하여 본 발명의 챔버 세정 방법을 상세하게 설명하기로 한다. 본 발명의 챔버 세정 방법의 설명에 있어서 전술한 기판 처리 장치에 관한 설명과 중복되는 설명은 생략하기로 한다.
도 5는 본 발명의 실시 예에 따른 챔버 세정 방법을 개략적으로 나타내는 도면이다. 도 5를 참조하면, 본 발명의 실시 예에 따른 챔버 세정 방법은 전술한 바와 같은 박막을 증착하는 챔버(10)를 세정하는 방법으로서, 상기 챔버(10) 내부에서 플라즈마화된 제1 가스로 상기 챔버(10)를 1차 세정하는 단계(S100) 및 상기 챔버(10) 외부에서 플라즈마화된 제2 가스를 상기 챔버(10) 내부로 공급하여 상기 챔버(10)를 2차 세정하는 단계(S200)를 포함한다. 여기서, 상기 제2 가스는 상기 제1 가스에 대하여 비반응성인 가스를 포함할 수 있다.
설명의 편의를 위하여, 이하에서는 상기 가스 분사부(300)가 전술한 상부 프레임(310) 및 하부 프레임(320)을 포함하는 구조를 가지는 것을 예로 들어 설명하나, 상기 가스 분사부(300)는 가스 분사판, 샤워 헤드, 플라즈마를 형성하기 위한 전극을 가지는 가스 분사판 또는 리드 자체일 수 있음은 물론이다.
상기 챔버(10)를 1차 세정하는 단계(S100) 전에는 기판(S) 상에 박막을 증착하는 단계가 수행될 수 있으며, 기판(S) 상에 박막을 증착하는 단계에서는 기판(S) 상에 금속 산화물을 포함하는 박막이 증착될 수 있다. 즉, 기판(S) 상에 박막을 증착하는 단계에서는 기판 상에 인듐(In) 및 갈륨(Ga) 중 적어도 하나 도핑된 아연 산화물, 예를 들어 IZO, GZO, IGZO 등의 금속 산화물을 증착할 수 있으며, 이에 따라 상기 챔버(10) 내에는 인듐(In) 및 갈륨(Ga) 중 적어도 하나가 도핑된 아연 산화물과 같은 금속 산화물이 부산물로 퇴적될 수 있다.
한편, 상기 기판(S) 상에 박막을 증착하는 단계 이후, 상기 챔버(10)를 1차 세정하는 단계(S100) 전에는 가스 분사부(300)의 온도를 설정 온도로 조절하는 단계가 수행될 수 있다. 여기서, 가스 분사부(300)의 온도를 설정 온도로 조절하는 단계는 가스 분사부(300)의 온도를 200℃ 이상의 온도로 조절할 수 있다. 즉, 기판(S) 상에 박막을 증착하는 단계 이후에는 상기 챔버(10)를 오픈하지 않고, 진공을 유지하면서 연속적으로 인-시튜로 챔버(10)를 1차 세정하는 단계(S100)가 수행될 수 있는데, 박막을 증착하는 단계와 챔버(10)를 1차 세정하는 단계(S100) 사이에는 상기 가스 분사부(300)를 설정 온도로 조절하는 단계가 수행될 수 있다. 이는 가스 분사부(300)의 온도가 높을 때 세정 효율을 극대화시킬 수 있기 때문이며, 이와 같이 가스 분사부(300)를 온도를 증가시킴으로써 챔버(10) 내의 부산물과 제1 가스의 반응이 보다 활발하게 일어날 수 있다.
여기서, 상기 가스 분사부(300)를 설정 온도로 조절하는 단계는 상기 가스 분사부(300)를 직접 가열하는 단계를 포함할 수 있다. 즉, 전술한 바와 같이 상기 가스 분사부(300)에 포함되는 상부 프레임(310) 및 하부 프레임(320) 중 적어도 하나의 내부에는 히팅 수단이 설치될 수 있는 바, 상기 가스 분사부(300)를 설정 온도로 조절하는 단계는 상기 히팅 수단에 의하여 상기 상부 프레임(310) 및 상기 하부 프레임(320) 중 적어도 하나를 직접적으로 가열하여 상기 가스 분사부(300)를 200℃ 이상의 온도로 조절할 수 있다. 이때, 상기 가스 분사부(300)를 직접 가열하는 단계는 기판(S)을 지지하기 위한 상기 기판 지지부(20)를 가열함과 동시에 이루어질 수도 있음은 물론이다. 이와 같이, 상기 히팅 수단이 상기 기판 지지부(20)의 가열과 함께 상기 가스 분사부(300)를 직접적으로 가열하는 경우, 상기 가스 분사부(300)의 온도를 설정 온도로 신속하게 조절할 수 있게 된다.
상기 챔버(10)를 1차 세정하는 단계(S100)에서는 제1 가스와 상기 챔버(10) 내에 부산물로 퇴적된 금속 산화물 중 상대적으로 저온에서 반응하는 성분을 반응시켜 상기 챔버(10)를 1차적으로 세정할 수 있다.
여기서, 상기 챔버(10)를 1차 세정하는 단계(S100)는 상기 챔버(10) 내에서 직접 플라즈마(direct plasma)를 형성하여 이루어질 수 있다. 또한, 상기 챔버를 1차 세정하는 단계(S100)는 상기 챔버(10) 내에 제1 성분 가스와 제2 성분 가스를 분리하여 공급하는 단계, 상기 제1 성분 가스 및 제2 성분 가스를 상기 챔버(10) 내에서 플라즈마화시키고, 반응시켜 플라즈마화된 제1 가스를 생성하는 단계 및 상기 플라즈마화된 제1 가스로 상기 챔버(10) 내의 부산물을 1차적으로 제거하는 단계를 포함할 수 있다.
상기 챔버(10)를 1차 세정하는 단계(S100)에서는 금속 산화물을 포함하는 부산물이 내부에 퇴적된 챔버(10)를 세정하기 위하여 제1 성분 가스 및 제2 성분 가스를 서로 다른 영역에서 플라즈마화시키고, 반응시켜 플라즈마화된 제1 가스를 생성한 후, 이를 이용하여 상기 챔버(10) 내부의 부산물을 제거할 수 있다. 즉, 본 발명의 실시 예에 따른 챔버 세정 방법에서는 상기 제1 성분 가스 및 상기 제2 성분 가스를 서로 다른 영역에서 플라즈마화시킴으로써 금속 산화물을 포함하는 부산물이 내부에 퇴적된 상기 챔버(10)를 건식 세정할 수 있다.
상기 챔버(10) 내에 제1 성분 가스와 제2 성분 가스를 분리하여 공급하는 단계는 제1 가스 제공부로부터 제공되는 제1 성분 가스와 제2 가스 제공부로부터 제공되는 제2 성분 가스를 상기 가스 분사부(300)를 통하여 상기 챔버(10) 내로 공급한다. 즉, 상기 제1 성분 가스 및 상기 제2 성분 가스는 가스 분사부(300) 내에 서로 다른 경로로 형성되는 제1 가스 공급 경로(110) 및 제2 가스 공급 경로(210)를 따라 상기 챔버(10) 내로 공급될 수 있다.
상기 제1 성분 가스 및 상기 제2 성분 가스는 상기 챔버(10)의 내부 공간에서 서로 반응하여 반응 가스를 생성하기 위한 것으로, 상기 제1 성분 가스 및 상기 제2 성분 가스 중 적어도 하나는 염소(Cl) 성분을 함유한 가스일 수 있다. 이때, 염소(Cl) 성분을 함유한 가스는 Cl2, HCl 또는 BCl3를 포함할 수 있다. 또한, 제1 성분 가스 또는 제2 성분 가스는 염소(Cl) 함유 가스 외에도 각각 아르곤(Ar), 제논(Ze) 및 헬륨(He) 등 중 적어도 하나의 비활성 가스를 더 포함할 수 있다. 이 경우 비활성 가스는 캐리어 가스의 역할을 하거나, 제1 성분 가스 또는 제2 성분 가스가 역류하는 것을 방지할 수 있으며, 전원이 인가되는 경우 직접 플라즈마 형성을 위한 방전 효율을 향상시킬 수 있다.
상기 제1 성분 가스 및 상기 제2 성분 가스는 상기 가스 분사부(300) 내에서 각각 별개의 경로를 따라 상기 챔버(10) 내로 분리 공급된다. 즉, 상기 제1 성분 가스는 상기 가스 분사부(300) 내에 형성된 상기 제1 가스 공급 경로(110)를 따라 상기 챔버(10) 내로 공급되며, 상기 제2 성분 가스는 상기 가스 분사부(300) 내에 형성되어 상기 제1 가스 공급 경로(110)와 연통되지 않는 상기 제2 가스 공급 경로(210)를 따라 챔버(10) 내로 공급된다. 이와 같이 상기 제1 성분 가스 및 상기 제2 성분 가스를 상기 가스 분사부(300) 내에서 각각 별개의 경로를 따라 챔버(10) 내로 공급함으로써, 상기 가스 분사부(300) 내에서 상기 제1 성분 가스와 상기 제2 성분 가스가 반응하는 것을 방지할 수 있으며, 이에 따라 상기 가스 분사부(300)의 손상을 방지하고, 상기 챔버(10) 내부를 보다 효과적으로 세정할 수 있게 된다.
플라즈마화된 제1 가스를 생성하는 단계는 상기 제1 성분 가스와 상기 제2 성분 가스를 챔버(10) 내부에 형성되는 직접 플라즈마 영역에서 플라즈마화시키고, 직접 플라즈마 영역에서 플라즈마화된 상기 제1 성분 가스와 상기 제2 성분 가스를 상기 챔버(10) 내부의 반응 공간에서 반응시켜 플라즈마화된 제1 가스를 생성한다.
여기서, 플라즈마화된 제1 가스를 생성하는 단계는, 도 4에서 전술한 바와 같이 상기 제1 성분 가스가 상기 제1 전극(310)을 관통하여 공급되는 경우, 상기 제1 성분 가스는 제1 직접 플라즈마 영역(DP1)에서 플라즈마화된다. 또한, 상기 제2 성분 가스가 상기 제1 전극(310)과 상기 제2 전극(320) 사이의 이격 공간을 통하여 공급되는 경우, 상기 제2 성분 가스는 제2 직접 플라즈마 영역(DP2)에서부터 플라즈마화되어, 상기 제1 직접 플라즈마 영역(DP1)에 걸쳐 플라즈마화된다. 이에 의하여 플라즈마화된 제1 가스를 생성하는 단계는 상기 제1 성분 가스와 상기 제2 성분 가스를 서로 다른 크기의 직접 플라즈마 영역에서 플라즈마화시킬 수 있으며, 직접 플라즈마가 형성되는 영역을 상기 제1 전극(310)과 상기 제2 전극(320) 사이의 영역까지 확장시켜 상기 챔버(10) 내의 플라즈마 밀도를 향상시킬 수 있을 뿐만 아니라, 플라즈마화된 제1 가스를 생성하기 위한 최적의 공급 경로로 상기 제1 성분 가스와 상기 제2 성분 가스를 분배시킬 수 있다.
또한, 플라즈마화된 상기 제1 성분 가스와 상기 제2 성분 가스는 별개의 경로로 상기 챔버(10) 내에 공급되어, 직접적으로 상기 챔버(10)의 세정을 위한 세정 가스로도 일부 사용될 수 있지만, 예를 들어 상기 제1 성분 가스로 염소(Cl) 함유 가스를 사용하고, 상기 제2 성분 가스로 수소(H) 함유 가스를 사용하는 경우에 제1 성분 가스와 제2 성분 가스가 반응한 염화수소(HCl) 가스를 세정 가스로 사용할 수도 있다. 이 경우, 플라즈마화된 염소(Cl) 함유 가스와 플라즈마화된 수소(H) 함유 가스는 상호 반응성이 높기 때문에, 상기 챔버(10) 내의 부산물을 식각하기 위한 제1 가스, 예를 들어 염화수소(HCl) 가스를 생성하게 되며, 생성된 염화수소(HCl) 가스는 상기 챔버(10) 내에 퇴적되는 아연 산화물 등의 유기 금속 산화물을 포함하는 부산물을 효율적으로 제거하기 위한 주된 반응 가스로 사용할 수 있다.
플라즈마화된 제1 가스로 상기 챔버(10) 내의 부산물을 제거하는 단계는 플라즈마화된 제1 가스를 상기 챔버(10) 내의 부산물과 물리 화학적으로 반응시켜 식각하여 제거한다. 예를 들어, 제1 가스에 포함되는 염소(Cl) 성분은 상기 챔버(10) 내에 퇴적되는 부산물과 물리 화학적으로 반응하여, 유기 금속 화학 기상 증착(MOCVD: Metal-Organic Chemical Vapor Deposition) 공정 등으로부터 발생하는 아연 산화물 등의 유기 금속 산화물을 포함하는 부산물을 효율적으로 식각하여 1차적으로 제거할 수 있다.
상기 챔버(10)를 2차 세정하는 단계(S200)는 상기 챔버 내로 원격 플라즈마(remote plasma)를 공급하여 이루어질 수 있다. 상기 챔버(10)를 2차 세정하는 단계(S200)에서는 상기 챔버(10) 내에 공급되는 제2 가스가 전술한 상기 챔버(10)를 1차 세정하는 단계(S100)에서 상기 챔버(10) 내부에서 플라즈마화된 제1 가스를 활성화시키고, 제2 가스에 의하여 플라즈마화된 제1 가스와 상기 챔버(10) 내에 부산물로 퇴적된 금속 산화물 중 상대적으로 고온에서 반응하는 성분을 반응시켜 상기 챔버(10)를 2차적으로 세정할 수 있다.
이를 보다 상세하게 설명하면, 챔버(10)를 1차 세정하는 단계(S100)에서는 제1 가스가 직접 플라즈마에 의하여 플라즈마화되어 상기 챔버(10) 내에 퇴적되어 상대적으로 저온에서 반응하는 성분을 가지는 부산물을 1차적으로 제거한다. 그러나, 전술한 바와 같이 부산물은 금속 산화물을 포함할 수 있으며, 금속 산화물 중에는 상대적으로 고온에서 반응하는 성분을 가져 이와 같이 플라즈마화된 제1 가스에 의하여 제거되지 않는 부산물이 포함될 수 있다. 이때, 챔버(10)를 1차 세정하는 단계(S100)에서 챔버(10) 외부에서 플라즈마화된 제2 가스를 챔버(10) 내부로 공급하는 경우 공급되는 플라즈마화된 제2 가스에 의하여 제1 가스는 활성화될 수 있다. 즉, 제2 가스는 고온의 원격 플라즈마에 의하여 플라즈마화되어 챔버(10) 내부로 공급되는데, 이와 같이 챔버(10) 외부에서 플라즈마화되어 챔버(10) 내부로 공급된 제2 가스는 챔버(10) 내부에서 플라즈마화된 제1 가스에 빛 에너지, 열 에너지, 운동 에너지 등의 활성화 에너지를 공급하게 되고, 제1 가스는 챔버(10) 내의 직접 플라즈마뿐만 아니라, 제2 가스로부터 공급된 활성화 에너지에 의하여 보다 높은 에너지 상태로 여기되어 활성화되게 된다. 이때, 제2 가스는 제1 가스에 대하여 비반응성인 가스를 포함하며, 이와 같은 제2 가스는 제1 가스에 포함되는 염소(Cl) 성분과 반응하지 않는 질소(N2) 가스, 아르곤(Ar) 가스, 헬륨(He) 가스 및 산소(O2) 중 적어도 하나의 가스를 포함할 수 있다. 여기서, 제1 가스에 대하여 비반응성이라 함은 제1 가스와 완전히 반응하지 않는 것을 의미하는 것이 아니라, 일부가 반응하는 경우에도 그 반응하는 양이 현저히 작아 거의 반응이 이루어지지 않는 경우를 포함함은 물론이다. 결국, 챔버(10)를 1차 세정하는 단계(S100)에서는 챔버(10) 내에 직접 플라즈마를 형성하여 플라즈마화된 제1 가스에 의하여 부산물이 1차적으로 제거되고, 부산물이 1차적으로 제거되고 난 후에는 고밀도의 부산물이 대부분 염소화(Chloride)되어 제거된 바 상대적으로 고온에서 반응하는 성분을 가지는 부산물이 추가적으로 활성화된 제1 가스의 플라즈마에 의하여 제거될 수 있게 된다. 이때, 챔버(10)를 1차 세정하는 단계(S100) 및 상기 챔버(10)를 2차 세정하는 단계(S200)는 가스 분사부(300)의 온도를 설정 온도, 예를 들어 200℃ 이상으로 유지한 상태에서 이루어질 수 있으며, 이와 같은 가스 분사부(300)의 가열에 의하여 제1 가스는 활성화 에너지를 공급받게 된다.
한편, 본 발명의 실시 예에 따른 챔버 세정 방법은 상기 챔버(10)를 2차 세정하는 단계(S200) 이후에, 상기 챔버(10) 내에 잔류하는 염소(Cl) 성분을 제거하는 단계를 더 포함할 수 있다. 이와 같이 챔버(10) 내에 잔류하는 염소(Cl) 성분을 제거하는 단계는 상기 챔버(10)에 염소(Cl) 성분과 반응하는 제3 가스, 예를 들어 수소(H2) 함유 가스를 공급하여 이루어질 수 있다. 또한, 제3 가스는 챔버(10) 외부에서 플라즈마화되어 공급될 수 있으며, 이와 같은 수소 플라즈마 처리에 의하여 형성되는 수소(H) 라디칼은 염소(Cl) 성분과 반응하고, 이에 따라 상기 챔버(10) 내에 잔류하는 염소(Cl) 성분의 잔류물이 제거된다.
이와 같은 수소 플라즈마 처리에 의하여 형성되는 수소(H) 라디칼은 염소(Cl) 성분과 반응하고, 이에 따라 상기 챔버(10) 내에 잔류하는 염소(Cl) 성분의 잔류물이 제거된다. 또한, 수소 플라즈마 처리 이후에는 수소(H) 성분의 잔류물이 상기 챔버(10) 내에 잔류할 수 있다. 따라서, 이와 같은 수소(H) 성분의 잔류물을 제거하기 위하여 상기 챔버(10) 내에 제4 가스, 예를 들어 산소(O2) 함유 가스를 공급할 수 있다. 여기서, 제4 가스는 챔버(10) 외부에서 플라즈마화되어 공급될 수 있으며, 이와 같은 산소 플라즈마 처리에 의하여 형성되는 산소(O) 라디칼은 수소(H) 성분과 반응하고, 이에 따라 상기 챔버(10) 내에 잔류하는 염소(H) 성분의 잔류물이 제거될 수 있다.
이와 같이, 본 발명의 실시 예에 따른 챔버 세정 방법에 의하면, 본 발명의 실시 예에 따른 챔버 세정 방법에 의하면, 챔버 내부에서 플라즈마화된 제1 가스로 챔버를 1차 세정하고 난 후, 챔버 외부에서 플라즈마화된 제2 가스를 챔버 내에 공급하여 챔버 내부에서 플라즈마화된 제1 가스를 활성화시켜 챔버를 2차 세정할 수 있다. 이에 의하여, 챔버 내에 잔류하는 각종 부산물들이 단계적으로 제거될 수 있게 되어 세정 효율을 극대화시킬 수 있다. 특히, 유기 금속 기상 증착을 수행하는 기판 처리 장치의 챔버 내부에 퇴적된 금속을 포함한 부산물을 효율적으로 세정할 수 있다.
또한, 본 발명의 실시 예에 따른 챔버 세정 방법에 의하면, 챔버 내부의 온도를 과도하게 증가시키지 않으면서 챔버 내부의 부산물을 제거할 수 있다. 즉, 플라즈마화된 제2 가스에 의하여 플라즈마화된 제1 가스에 활성화 에너지를 공급함으로써 챔버 내부의 온도를 상대적으로 저온으로 유지한 상태에서 부산물을 제거할 수 있으며, 이는 저온 유지가 필수적인 봉지 공정 등에 적용되는 기판 처리 장치에 있어서 특히 유효하다.
뿐만 아니라, 본 발명의 실시 예에 챔버 세정 방법에 의하면, 빈번한 세정이 요구되는 화학 기상 증착 공정에서 챔버를 오픈하지 않고 인-시투 세정이 가능하게 되어, 작업 능률의 향상 및 높은 장치 재현성과 가동률을 확보할 수 있다.
상기에서, 본 발명의 바람직한 실시 예가 특정 용어들을 사용하여 설명 및 도시되었지만 그러한 용어는 오로지 본 발명을 명확하게 설명하기 위한 것일 뿐이며, 본 발명의 실시 예 및 기술된 용어는 다음의 청구범위의 기술적 사상 및 범위로부터 이탈되지 않고서 여러 가지 변경 및 변화가 가해질 수 있는 것은 자명한 일이다. 이와 같이 변형된 실시 예들은 본 발명의 사상 및 범위로부터 개별적으로 이해되어져서는 안 되며, 본 발명의 청구범위 안에 속한다고 해야 할 것이다.
110: 제1 성분 가스 공급 경로 210: 제2 성분 가스 공급 경로
300: 가스 분사부 310: 상부 프레임, 제1 전극
312: 온도 조절 수단 320: 하부 프레임, 제2 전극
342: 돌출부 350: 밀봉 부재
400: 원격 플라즈마 공급부 410: 원격 플라즈마 유입관

Claims (9)

  1. 박막을 증착하는 챔버를 세정하는 방법으로서,
    상기 챔버 내부에서 플라즈마화된 제1 가스로 상기 챔버를 1차 세정하는 단계; 및
    상기 챔버 외부에서 플라즈마화된 제2 가스를 상기 챔버 내부로 공급하여 상기 플라즈마화된 제1 가스를 활성화하여 상기 챔버를 2차 세정하는 단계;를 포함하고,
    상기 제2 가스는 상기 제1 가스에 대하여 비반응성인 가스를 포함하는 챔버 세정 방법.
  2. 청구항 1에 있어서,
    상기 챔버를 1차 세정하는 단계는 상기 챔버 내에서 직접 플라즈마를 형성하여 이루어지고,
    상기 챔버를 2차 세정하는 단계는 상기 챔버 내로 원격 플라즈마를 공급하여 이루어지는 챔버 세정 방법.
  3. 청구항 1에 있어서,
    상기 제1 가스는 염소 성분을 함유하고,
    상기 제2 가스는 질소 가스, 아르곤 가스, 헬륨 가스 및 산소 가스 중 적어도 하나의 가스를 포함하는 챔버 세정 방법.
  4. 청구항 1에 있어서,
    상기 챔버 내에는 상기 제1 가스를 분사하기 위한 가스 분사부가 설치되고,
    상기 챔버를 1차 세정하는 단계 및 상기 챔버를 2차 세정하는 단계는 상기 가스 분사부의 온도를 200℃ 이상으로 조절하여 이루어지는 챔버 세정 방법.
  5. 청구항 4에 있어서,
    상기 챔버를 1차 세정하는 단계는,
    상기 챔버 내에 제1 성분 가스와 제2 성분 가스를 분리하여 공급하는 단계;
    상기 제1 성분 가스 및 제2 성분 가스를 상기 챔버 내에서 플라즈마화시키고, 반응시켜 플라즈마화된 제1 가스를 생성하는 단계; 및
    상기 플라즈마화된 제1 가스로 상기 챔버 내의 부산물을 1차적으로 제거하는 단계;를 포함하는 챔버 세정 방법.
  6. 청구항 5에 있어서,
    상기 플라즈마화된 제1 가스를 생성하는 단계는,
    상기 제1 성분 가스를 상기 가스 분사부의 외부에서 플라즈마화시키고, 상기 제2 성분 가스를 상기 가스 분사부의 내부에서 플라즈마화시키는 챔버 세정 방법.
  7. 청구항 6에 있어서,
    상기 플라즈마화된 제1 성분 가스 및 제2 성분 가스를 상기 가스 분사부의 외부에서 반응시키는 챔버 세정 방법.
  8. 청구항 3에 있어서,
    상기 챔버를 2차 세정하는 단계 이후에,
    상기 챔버 내에 잔류하는 염소 성분을 제거하는 단계;를 더 포함하는 챔버 세정 방법.
  9. 청구항 1에 있어서,
    상기 박막 및 상기 챔버 내의 부산물은 금속 산화물을 포함하는 챔버 세정 방법.
KR1020200003827A 2020-01-10 2020-01-10 챔버 세정 방법 KR20210090482A (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020200003827A KR20210090482A (ko) 2020-01-10 2020-01-10 챔버 세정 방법
US17/791,878 US20230032039A1 (en) 2020-01-10 2021-01-08 Chamber cleaning method
PCT/KR2021/000248 WO2021141438A1 (ko) 2020-01-10 2021-01-08 챔버 세정 방법
TW110100840A TW202133215A (zh) 2020-01-10 2021-01-08 腔室清洗方法
CN202180008126.1A CN114930491A (zh) 2020-01-10 2021-01-08 腔室清洗方法
JP2022542287A JP2023510536A (ja) 2020-01-10 2021-01-08 チャンバーの洗浄方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200003827A KR20210090482A (ko) 2020-01-10 2020-01-10 챔버 세정 방법

Publications (1)

Publication Number Publication Date
KR20210090482A true KR20210090482A (ko) 2021-07-20

Family

ID=76788192

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200003827A KR20210090482A (ko) 2020-01-10 2020-01-10 챔버 세정 방법

Country Status (6)

Country Link
US (1) US20230032039A1 (ko)
JP (1) JP2023510536A (ko)
KR (1) KR20210090482A (ko)
CN (1) CN114930491A (ko)
TW (1) TW202133215A (ko)
WO (1) WO2021141438A1 (ko)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3855982B2 (ja) * 2003-09-25 2006-12-13 セイコーエプソン株式会社 クリーニング方法及びクリーニング装置
KR20070060825A (ko) * 2005-12-09 2007-06-13 삼성전자주식회사 반도체 제조 장치의 챔버 클리닝 방법
KR100994108B1 (ko) * 2008-06-09 2010-11-12 (주)이큐베스텍 플라즈마 세정 시스템에 사용되는 분사기 및 이를 이용한세정 방법
KR102438781B1 (ko) * 2017-07-17 2022-09-02 삼성디스플레이 주식회사 챔버 세정 장치 및 이를 포함하는 반도체 소자 제조 장비
KR102516778B1 (ko) * 2018-02-08 2023-04-03 주성엔지니어링(주) 챔버 세정 장치 및 챔버 세정 방법
WO2019156489A1 (ko) * 2018-02-08 2019-08-15 주성엔지니어링㈜ 챔버 세정 장치 및 챔버 세정 방법

Also Published As

Publication number Publication date
TW202133215A (zh) 2021-09-01
US20230032039A1 (en) 2023-02-02
CN114930491A (zh) 2022-08-19
JP2023510536A (ja) 2023-03-14
WO2021141438A1 (ko) 2021-07-15

Similar Documents

Publication Publication Date Title
KR102652921B1 (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
US20210130955A1 (en) Film forming apparatus and film forming method
JP6737899B2 (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
US20200118809A1 (en) Selective deposition with atomic layer etch reset
US20210140044A1 (en) Film forming method and film forming apparatus
US20080044593A1 (en) Method of forming a material layer
KR100684910B1 (ko) 플라즈마 처리 장치 및 그의 클리닝 방법
KR101246443B1 (ko) 금속계막의 성막 방법 및 기억 매체
US20220356569A1 (en) Chamber cleaning device and chamber cleaning method
CN104681464A (zh) 衬底处理装置及半导体器件的制造方法
CN111986990A (zh) 硬掩模、基板处理方法以及基板处理装置
US11823909B2 (en) Selective processing with etch residue-based inhibitors
KR20210090482A (ko) 챔버 세정 방법
KR100517550B1 (ko) 원자층 증착 장치
KR20210004657A (ko) 기판 처리 방법
KR20210051827A (ko) 금속 산화물 박막 증착 방법 및 챔버 처리 방법
US20230141281A1 (en) Substrate processing device and method
US20230260759A1 (en) Integration of vapor deposition process into plasma etch reactor
JPH09289179A (ja) CVD−Ti成膜チャンバーのクリーニング方法
KR20070055874A (ko) 플라즈마 처리 장치
JP2009057604A (ja) 成膜装置、成膜システム及び成膜装置のクリーニング方法
JP5004565B2 (ja) 薄膜作製装置
KR20070031031A (ko) 막 형성 장치 세정 방법
KR20030044199A (ko) 고주파 인가형 반도체 장치 제조 장비 및 이를 이용한공정 챔버 세정 방법
KR20110012000A (ko) 유기금속 화학 기상 증착 장치와 결합된 세정 장치