JP6821607B2 - 側壁ポアの封止とビアの清浄性のための配線集積化 - Google Patents

側壁ポアの封止とビアの清浄性のための配線集積化 Download PDF

Info

Publication number
JP6821607B2
JP6821607B2 JP2017567077A JP2017567077A JP6821607B2 JP 6821607 B2 JP6821607 B2 JP 6821607B2 JP 2017567077 A JP2017567077 A JP 2017567077A JP 2017567077 A JP2017567077 A JP 2017567077A JP 6821607 B2 JP6821607 B2 JP 6821607B2
Authority
JP
Japan
Prior art keywords
pore
dielectric constant
substrate
layer
low dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017567077A
Other languages
English (en)
Other versions
JP2018520518A5 (ja
JP2018520518A (ja
Inventor
ホー レン,
ホー レン,
メフル ビー. ナイク,
メフル ビー. ナイク,
ディーネッシュ パディ,
ディーネッシュ パディ,
プリヤンカー ダッシュ,
プリヤンカー ダッシュ,
バスカー クマール,
バスカー クマール,
アレクサンドロス ティー. デモス,
アレクサンドロス ティー. デモス,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018520518A publication Critical patent/JP2018520518A/ja
Publication of JP2018520518A5 publication Critical patent/JP2018520518A5/ja
Application granted granted Critical
Publication of JP6821607B2 publication Critical patent/JP6821607B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本明細書に記載の実施態様は概して、低誘電率誘電膜の処理に関する。より詳細には、本明細書に記載の実施態様は、多孔性低誘電率誘電膜の封止処理に関する。
関連技術の説明
デバイスの相次ぐスケーリングによって、半導体製造における誘電膜の誘電率(k)は低下し続けている。低誘電率(low−k)膜への集積化損傷を最小限に抑えることは、特徴(feature)サイズの継続的な低下を可能にする因子の1つである。しかしながら、特徴サイズが縮小するにつれ、誘電膜の抵抗容量及び信頼性向上が深刻な課題となる。
例えば、炭素がドープされた酸化物(CDO)などの多孔性低誘電率誘電膜はバックエンド(BEOL)集積を経た後、露出したポアにおける汚染に起因してかなりの損傷を被り、これがより大きな抵抗容量(RC)遅延につながる。例えば、金属や金属前駆体は、多孔性低誘電率誘電膜のポアにおいて拡散する傾向にある。多孔性低誘電率誘電膜の一体性を保ち、多孔性低誘電率誘電膜の誘電率の信頼性低下を最小限に抑えるには、多孔性低誘電率誘電膜が後のメタライゼーション処理の前に封止されるのが典型的である。しかしながら、現行の封止処理は接触抵抗を増大させることが多い。
従って、接触抵抗を低下させつつ多孔性低誘電率誘電膜への損傷を抑える方法が求められている。
本明細書に記載の実施態様は概して、低誘電率誘電膜の処理に関する。より詳細には、本明細書に記載の実施態様は、多孔性低誘電率誘電膜の封止処理に関する。一実施態様で、多孔性低誘電率誘電膜を封止する方法が提供される。本方法は、基板をUV放射及び第1の反応性ガスに晒すことであって、基板には開口特徴部が画定されており、開口特徴部は多孔性低誘電率誘電体層及び導電性材料で画定されており、多孔性低誘電率誘電体層はケイ素及び炭素含有材料であること、並びに、UVアシスト光化学蒸着を用いて、開口特徴部において多孔性低誘電率誘電体層の露出した表面にポア封止層を選択的に形成すること、を含む。
別の実施態様で、多孔性低誘電率誘電膜を封止する方法が提供される。本方法は、基板をUV放射及び窒素含有前駆体に晒すことであって、基板にはトレンチ及びビアが画定されており、トレンチ及びビアは多孔性低誘電率誘電体層及び導電性材料によって画定されており、多孔性低誘電率誘電体層はケイ素及び炭素含有材料であることと、ビアにおける多孔性低誘電率誘電体層の露出した表面に、ポア封止層を選択的に形成することと、UVアシスト光化学蒸着を用いて、ビアにおける露出した導電性材料にポア封止残留物を形成させることと、基板を堆積後の処置プロセスに晒し、ビアの導電性材料からポア封止残留物を除去することと、を含む。
更に別の実施態様で、多孔性低誘電率誘電膜の封止方法が提供される。方法は、基板をUV放射及び窒素含有前駆体に晒すことであって、基板にはトレンチ及びビアが画定されており、トレンチ及びビアは多孔性低誘電率誘電体層及び導電性材料によって画定されており、多孔性低誘電率誘電体層がケイ素及び炭素含有材料であることと、ビアにおける多孔性低誘電率誘電体層の露出した表面にポア封止層を選択的に形成することと、UVアシスト光化学蒸着を用いて、ビアにおける露出した導電性材料にポア封止残留物を形成させることと、ビアにおける導電性材料からポア封止残留物を除去するために基板を堆積後の処置プロセスに晒すことであって、ポア封止層の堆積レートがポア封止残留物の堆積レートよりも大きいことと、を含む。
本開示の上記特徴を詳細に理解できるよう、上記で簡単に要約した本開示のより具体的な説明が実装形態を参照することで得られ得る。その幾つかを添付図面で例示する。しかしながら、本開示は他の等しく有効な実装形態も許容し得るため、添付の図面は、本開示の典型的な実装形態のみを示しており、したがって、本発明の範囲を限定すると見なすべきではないことに留意されたい。
本明細書に記載の実装態様による、封止層を形成する一方法を示す処理フロー図である。 本明細書に記載の実施態様により処理されているワークピースの概略断面図である。 本明細書に記載の実施態様により処理されているワークピースの概略断面図である。 本開示の実施態様が実施され得るUV熱処理チャンバの断面図である。
理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに同一の参照番号を使用した。1つの実施態様の要素及び特徴は、更なる記載がなくとも、他の実施態様に有益に組み込まれることがあると想定されている。しかしながら、本開示は他の等しく有効な実装形態も許容し得、添付の図面は本開示の典型的な実装形態のみを示しているので、本発明の範囲を限定すると見なすべきではないことに留意されたい。
以下の記載で、多孔性低誘電率誘電膜の封止処理を説明する。本開示の様々な実装形態の完全な理解をもたらすべく、特定の細部が以下の説明及び図1から図3で記載されている。様々な実装形態の説明を不要に不明瞭化することを避けるため、低誘電率誘電膜の処理に関連付けられることが多い周知の構造及びシステムを説明するその他の詳細は、以下の開示では提示されていない。
図示した細部、寸法形状、角度、及びその他の特徴の多くは、特定の実装形態を例示しているに過ぎない。したがって、本開示の精神又は範囲から逸脱せずに、他の実装態様がその他の細部、構成要素、寸法形状、角度、及び特徴を有してもよい。さらに、本開示のさらなる実装形態は、以下で説明された幾つかの細部なしに実施することができる。
特徴の寸法低下に伴い、容量のスケーリングが課題となっている。特徴の寸法低下ニーズに応えるべく、より誘電率の低い膜(例えば、2.4を下回るk値)が考慮されている。このような低誘電率材料は高い空隙率を有するのが典型的であり、金属が多孔性低誘電率材料へ拡散し結果として信頼性が損なわれることを防ぐために、メタライゼーション前の封止を要する。適切なポア封止処理では典型的に、側壁のパッシベ―ションとともに清浄なビア底部コンタクトが含まれる。従来型の物理的な封止手法では、コンフォーマル(共形)な誘電体層の堆積が含まれる。しかしながら、共形誘電体層は物理膜により側壁パッシベ―ションを助けるものの、ビア底部における物理膜の堆積ではビアコンタクトの確保がより困難となる。
その他の従来型の方式では、多孔性低誘電率側壁の熱/プラズマベースの処置を含む。そのような熱/プラズマベースの処置は多孔性低誘電率側壁を緻密化するか或いは側壁のポアを分子で満たす(ポア充填)。しかしながら、このような熱/プラズマベースの処置は典型的に多孔性低誘電率材料を損傷する。これが多孔性低誘電率材料の誘電率の増大を招き、より低誘電率の膜を使用するという目的を損なう。本明細書に記載の実施態様は、側壁ポアの封止とビアの清浄性との両方を確保する方法を導入し、高度な多孔性低誘電率膜でのポア封止の集積要件を満たすものである。
本明細書に記載の実施態様は、ビア抵抗(ビアコンタクト)へのペナルティを最小限としたポア封止を可能にする集積オプションを導入するものである。この方式では、上記の目的を達成する複数のプロセスが含まれる。まず、紫外光(UV)ベースの封止処理が実施される。ブロードバンドUVエネルギーの存在下、ケイ素−炭素−窒素ベースの前駆体が用いられる。多孔性低誘電率膜及び導電性材料の表面活性化エネルギーは異なるので、ポア封止膜の堆積レートは、多孔性低誘電率膜の表面でより大きくなり、ビア底部での導電性材料の表面ではより小さくなる。典型的な工程形態では、200−3,000ワットのUV光パワー、100−2,000sccmの前駆体流量、及び100mTorr〜10Torrの範囲のチャンバ圧力が含まれる。理論に束縛されるものではないが、UV光がリガンド結合を優先的に破壊し、ケイ素−炭素−窒素ベースの膜を多孔性低誘電率表面に付着させて、ポア封止材料が導電性材料に堆積するのを最小限に抑えると考えられている。
ポア封止材料の堆積後、UV又は熱ベースの処置を用いて、更なる堆積に備え表面の結合を増大させる。例えば、200−1,000ワットのUV光パワー及び50−800sccmのNH流量という工程形態で、NHベースのUV処置を用いてポア封止層の表面を−NHテザー結合で前処理する。ポア封止層を連続的に成長させるために、前駆体浸漬(soak)及び処置はサイクル式であってもよい。結果として、ケイ素−炭素−窒素含有層が多孔性低誘電率表面に堆積して多孔性低誘電率表面のナノポアを封止する一方、ビア底部のケイ素−炭素−窒素ベースの残留物は、側壁に堆積したケイ素−炭素−窒素ベースの層よりも薄い。
第2に、封止層の堆積後の残留物の除去プロセスが実施され得る。ビアのオーミックコンタクトを保証するために、ビア底部の残留物を除去する第2のプロセスが実施され得る。一例として、ビア側壁に堆積したポア封止層を消耗することなく、ビア底部に堆積したケイ素−炭素−窒素ベースの含有残留物層を除去するために、マイルド湿式洗浄処理が用いられ得る。湿式洗浄の化学的性質には、分解を向上させるために、過酸化物などの酸化剤及び調節されたpHレベルが含まれ得る。別の例として、ビア底部を洗浄して酸化物残留物を除去するのにリフトオフ方法が用いられてもよい。そのようなプロセスは、酢酸洗浄ベースの酸化物還元であり得る。更に別の例として、ビア底部残留物をエッチング/スパッタリングするためのバイアスベースの処置方式が加えられてもよい。ビア底部での低誘電率が損なわれないよう、低いレンジ(20−300ワット)のバイアス電力が印加され得る。
図1は、本明細書に記載のある実施態様による、配線構造の形成方法100を示すフロー図である。方法100は、製造プロセス中のワークピースに対して実施される。方法100は、下記で説明する図2A−2Eで図示した製造段階シーケンスに示すデュアルダマシン構造を形成するのに用いられ得る。図2A−2Eは、基板210に形成されるデュアルダマシン構造の製造プロセスの概略断面図である。図1はデュアルダマシンプロセスとの関連で示されているものの、デュアルダマシンプロセスとの関連は例示的なものにすぎず、図1に示すプロセスが、多孔性低誘電率膜の上にポア封止層を形成することが望ましい任意のプロセスに応用できることを理解されたい。
ブロック110で基板が提供される。基板の多孔性低誘電率誘電体層には、開口特徴部が形成されている。開口特徴部は、露出した多孔性低誘電率表面と露出した導電性表面とを有する。開口特徴部には、トレンチ、ビア、孔、開口、ラインなど、及びそれらの組み合わせが含まれ得る。多孔性低誘電率誘電体層は、約3を下回るk値を有する、任意の従来型の、多孔性かつ低誘電率の、ケイ素ベースの誘電体層であってよい。一実施態様では、低誘電率誘電体層が、炭素原子及び水素原子を含有する酸化ケイ素である有機ケイ酸塩ガラス(SiOCHなどのOSG)である。多孔性低誘電率層は、約0.5ナノメートル〜約20ナノメートルの範囲の直径を有したミクロポアを有し得る。露出した導電性表面は任意の金属性材料であってよい。一実施態様で、金属性材料が、銅(Cu)、コバルト(Co)、タングステン(W)、ニッケル(Ni)、金(Au)、アルミニウム(Al)、及びそれらの組み合わせからなる群から選択され得る。
開口特徴部を有する基板は、図2A−2Eに示す基板210と同様のものであり得る。基板210には、パターニングされた膜スタック250が形成されている。基板210は、半導体基板を含んでよく、完全に又は部分的に形成された層、及び/又は内部もしくは外部に形成されたデバイスを含んでいてもよい。例えば、ある実施態様では、図2Aに示すように、基板が、低誘電率誘電体層216に形成された金属配線構造214A,214B(集合的に214)の上部に設けられた低誘電率誘電体バリア層212を有していてもよい。低誘電率誘電体バリア層212は、窒化ケイ素(SiN)、炭化ケイ素(SiC)、窒素がドープされた炭化ケイ素(SiNC)、炭窒化ケイ素(SiCN)、炭酸化ケイ素(SiCO)、酸素と窒素がドープされた炭化ケイ素(SiONC)などの任意の適切な材料層を含み得る。そのような炭化ケイ素ベースの材料の一例は、BLOk(商標)(バリア低誘電率)膜であり、カリフォルニア州サンタクララのApplied Materials,Inc.より入手可能である。金属配線構造214は、金属、例えば、銅(Cu)、コバルト(Co)、タングステン(W)、ニッケル(Ni)、金(Au)、アルミニウム(Al)などの導電性材料を含み得る。金属配線構造214は、上面208A,208B(集合的に208)を有し得、上面208A,208Bは、低誘電率誘電体層216の上面と実質的に共平面、すなわち同一面上にある。低誘電率誘電体層216は、二酸化ケイ素(SiO)の約3.9という誘電率よりも小さい誘電率を有した任意の有機低誘電率誘電体材料であり得る。低誘電率誘電体層216に適した材料は、炭素がドープされた酸化物(CDO)、有機ケイ酸塩ガラス(OSG)、酸化ケイ素又はTEOSなどのドープされていないシリコンガラス(USG)、ホウ素−ケイ酸塩ガラス(BSG)、リン−ケイ酸塩ガラス(PSG)、ホウ素−リン−ケイ酸塩ガラス(BPSG)、並びにそれらの組み合わせを含む。
一実施態様で、パターニングされた膜スタック250は、低誘電率誘電体層218とその上に設けた一以上の上層又はマスク層232,234とを含む。低誘電率誘電体層218は、基板210の上部(例えば、低誘電率誘電体バリア層212が存在する場合にはその上部、又は基板210上に存在し得る任意の他の層の上部)に堆積してよい。低誘電率誘電体層218が低誘電率誘電体バリア層212に設けられてもよい。低誘電率誘電体層218は低誘電率誘電体層216と同じ材料から選択されてよい。低誘電率誘電体層216及び218は、本明細書に記載のような多孔性のものであってよい。一以上のマスク層232及び234はそれぞれ個別に、他にもあり得るが、酸化物層、窒化物層、金属層、高融点金属窒化物層、酸化物及び窒化物層の複合体、窒化物層を挟んでいる2以上の酸化物層、並びにそれらの組み合わせからなる群から選択される誘電体層であり得る。例示的な高融点金属窒化物層は、窒化チタン、窒化タンタル、及び窒化タングステンからなる群から選択される。例示的な金属層はチタン及びタングステンを含む。
低誘電率誘電体層218は、二酸化ケイ素(SiO)の約3.9の誘電率よりも小さい誘電率を有する任意の有機低誘電率誘電体材料であってよい。例えば、有機材料は、炭素がドープされた酸化物(CDO)(例えばApplied Materialsから入手可能なBlack Diamond又はBlack Diamond II)、有機ケイ酸塩ガラス(OSG)材料、ポリマー−based 低誘電率誘電体材料(例えばDow Chemical Companyから入手可能なSiLK(登録商標))、有機ポリマー(例えばHoneywell Advanced Microelectronic Materialsから入手可能な架橋ポリアリーレンエーテルであるFLARE(商標))などであり得る。本明細書に記載の例示的な実施態様で、低誘電率誘電体層218は有機ケイ酸塩ガラス(OSG)層である。本明細書に記載の別の例示的な実施態様で、低誘電率誘電体層218は炭素がドープされた酸化物である。一実施態様で、低誘電率誘電体材料層218は、約300Å〜約1,500Å、例えば約400Å〜約1,200Å、例えば約1,000Åの厚さを有する。一実施態様で、マスク層232は、ケイ素に富む酸化物又はSiON層であり、マスク層234はチタン含有層(例えば、窒化チタン又はチタン層)である。
図2Aに示す実施態様で、ワークピース200は事前に、堆積、エッチング、及びパターニング処理を含む幾つかのプロセスを経ている。これらプロセスについての記載は簡潔性のために省略する。図2Aに示すように、膜スタックがパターニングされて、パターニングされた膜スタック250を形成している。パターニングされた膜スタック250は、当業者に既知の一連の処理を用いてパターニングされ、パターニングされた膜スタック250に開口特徴部260が形成され得る。開口特徴部260は典型的に、側壁220を形成し、配線構造214の上面208の一部が露出している。本明細書に記載の開口特徴部260は、トレンチ、ビア、開口、孔、ラインなど、及びそれらの組み合わせを含み得る。開口特徴部260は、フッ化炭素ガス、窒素含有ガス、及び不活性ガスを含む処理ガス又は処理ガス混合物を含むプラズマエッチング処理を用いて形成され得る。本明細書で使用する「処理ガス」及び「処理ガス混合物」の表現は交換可能であり、一以上のガスを含み得る。オプションとして、ヒドロフルオロカーボンガスが提供されてもよい。開口特徴部260の形成中、パターニングされた膜スタック250の露出した表面が損傷し得る。パターニングされた膜スタック250の露出した表面の損傷を修復する様々な修復プロセスが実施され得る。
ブロック120で、開口特徴部の露出した誘電体及び導電性表面が、堆積前のUV処置プロセスに晒される。開口特徴部は開口特徴部260であり得る。露出した表面は、側壁220、及び、開口特徴部の底部の露出した導電性表面、例えば、配線構造214の上面208を含み得る。封止層を堆積するチャンバで、或いは別のチャンバで、UV処置プロセスが実施され得る。一実施態様で、UV処置プロセスが、ブロック130で封止層を堆積する処理チャンバと同じメインフレームにあるロードロックチャンバで実施される。
UVアシスト処置プロセスは、露出した誘電体及び導電性表面を有する基板が配置されたチャンバに、反応性ガスを流入させることを含み得る。一以上のUVランプを起動することにより、露出した誘電体及び導電性表面へとUVエネルギーが案内される。チャンバ圧力は、約6Torrから約200Torr(例えば、約10Torrから約50Torr、約20Torrから約30Torr)の範囲であり得る。UV出力は、約40パーセントから約100パーセント(例えば、約50パーセントから約100パーセント、約60パーセントから約90パーセント、約70パーセントから約80パーセント)の範囲であり得る。反応性ガスは、約20sccmから約2,000sccm(例えば、約50sccmから約800sccm、約100sccmから約500sccm)の範囲の流量を有し得る。反応性ガスの例としては、NH、H、O、NO、CO、又はそれらの組み合わせが含まれる。一実施態様で反応性ガスはNHである。Ar又はHeなどの不活性ガスも、約20sccmから約2,000sccm(例えば、約50sccmから約800sccm、約100sccmから約500sccm)の範囲の流量でチャンバに導入され得る。処理時間は、約10秒から約600秒(例えば、約60秒から約300秒、約120秒から約200秒)の範囲であり得る。
ブロック120におけるロードロックチャンバでのUVアシスト処置プロセスは、多孔性低誘電率誘電膜が設けられた基板を配置したロードロックチャンバに、反応性ガスを流入させることを含み得る。一以上のUVランプを起動することによりUVエネルギーが封止層に案内される。ロードロックチャンバの圧力は、約0.07Torrから約20Torr(例えば、約1Torrから約10Torr)の範囲であり得る。UV出力は、約40パーセントから約100パーセント(例えば、50パーセントから100パーセント、60パーセントから90パーセント、70パーセントから80パーセント)の範囲であり得る。反応性ガスは、約20sccmから約2,000sccm(例えば、約50sccmから約800sccm)の範囲の流量を有し得る。基板温度は、摂氏200度から摂氏400度であり得る。反応性ガスの例としては、NH、H、O、NO、CO、又はそれらの組み合わせが含まれる。一実施態様で反応性ガスはNHである。Ar又はHeなどの不活性ガスも、約20sccmから約2,000sccm(例えば、約50sccmから約800sccm、約100sccmから約500sccm)の範囲の流量でチャンバに導入され得る。処理時間は、約10秒から約600秒(例えば、約60秒から約300秒、約120秒から約200秒)の範囲であり得る。
ある実施態様では、ブロック120のUVアシスト処置プロセスがプラズマ処置に取って代わられてもよい。ロードロックチャンバでプラズマ処置が実施され得る。プラズマ処置は遠隔プラズマ処置であってもよい。プラズマは、適切な処置ガス、例えば、アンモニア、水素、又はそれらの組み合わせから生成されるのが典型的である。プラズマ処置は、多孔性低誘電率誘電膜が設けられた基板が配置されたロードロックチャンバに、プラズマ及びキャリアガスを流入させることを含み得る。アンモニア、水素、窒素、アルゴン、ヘリウム、又は組み合わせなどのキャリアガスがプラズマと共にロードロックチャンバに導入され得る。ロードロックチャンバの圧力は、約500mTorrから約20Torr(例えば、約1Torrから約10Torr)の範囲であり得る。遠隔プラズマ出力 は、約200ワットから約5,000ワット(例えば、約500ワットから約1,000ワット)の範囲であり得る。キャリアガスは、約20sccmから約2,000sccm(例えば、約50sccmから約800sccm)の範囲の流量を有し得る。UV処理時間は約5秒から約120秒の間であり得る。
ブロック120の処置プロセスは、ブロック130のUVアシスト堆積処理と同じチャンバで実施され得る。理論に限定するものではないが、露出した誘電体及び導電性表面のUVアシスト処置プロセスにより、露出した導電性表面の疎水性は、露出した誘電体表面に対して増大すると考えられている。導電性表面のこの増大した疎水性によって、続いてブロック130で堆積するポア封止層の露出した導電性表面への堆積が、減少することとなる。
ブロック130で、開口特徴部の露出した多孔性低誘電率誘電体層の表面に、ポア封止層が形成される。ポア封止層は、開口特徴部の露出した導電性表面に対して、露出した多孔性低誘電率誘電体表面に選択的に形成される。ブロック130の処理でのポア封止層の堆積中、開口特徴部の露出した導電性表面に、ポア封止残留物が形成され得る。ポア封止残留物は共形でないポア封止層であり得る。ポア封止層は、導電性表面に形成されたポア封止残留物の堆積レートよりも高い堆積レートで、多孔性低誘電率誘電体層上に形成される。ポア封止層はポア封止残留物よりも厚い。ポア封止層は、多孔性低誘電率誘電体層に、紫外光(UV)アシスト光化学蒸着を用いて堆積し得る。UVアシスト光化学蒸着は、多孔性低誘電率誘電膜を堆積するチャンバ、或いは別のチャンバで実施され得る。一実施態様で、UVアシスト光化学蒸着がUV処理チャンバで実施されてもよい。露出した多孔性低誘電率誘電膜と露出した導電性表面とを有する基板が、処理チャンバに配置される。
UVアシスト光化学蒸着処理は、前駆体化合物及びキャリアガスを処理チャンバに導入することと、一以上のUVランプを起動することにより、基板に設けた多孔性低誘電率誘電膜にUVエネルギーを送達することと、を含む。一実施態様で、前駆体化合物は、ケイ素、炭素、及び窒素を含む前駆体化合物である。前駆体化合物は、窒素含有シリル化剤、例えば、トリス(ジメチルアミノ)メチルシラン、テトラキス(ジメチルアミノ)シラン、トリス(ジメチルアミノ)シラン、ビス(ジメチルアミノ)ジメチルシラン、ビス(ジメチルアミノ)メチルビニルシラン、トリシリルアミン、ジメチルアミノトリメチルシラン、シクロトリシラザン、トリメチルトリビニルシクロトリシラザン、ヘキサメチルシクロトリシラザン、ノナメチシクロトリシラザン、又はケイ素、水素、窒素及び炭素を含有する他の化合物であり得る。前駆体化合物の処理チャンバへの流入を支援するのに、キャリアガスが用いられ得る。キャリアガスは、He、Ar、N、及びそれらの組み合わせなどの不活性ガスであり得る。一実施態様で、基板は300mm基板であり、前駆体化合物の流量は、約100ミリグラム/分(mgm)から約2,000mgmの範囲であり、キャリアガスの流量は、約500立方センチメートル/分(sccm)から約5,000sccmの範囲であり得る。
UVアシスト光化学蒸着処理は、約50mTorrから約500Torr(例えば、約100mTorrから約10Torr、約1Torrから約5Torr)の間の処理チャンバ圧力で行われ得る。基板温度は、摂氏約100度から摂氏約400度であり得る。処理時間は約15秒から約900秒であり得る。UV出力は、約20パーセントから約100パーセント(例えば、約20パーセントから約80パーセント、約30%から約50%)であり得る。UV出力は、約200ワットから約3,000ワット(例えば、約1,100ワットから約2,500ワット、約1,500ワットから約2,000ワット)であり得る。ポア封止層は、各堆積サイクルで、約1オングストロームから約5オングストロームの範囲の厚さを有し得る。堆積サイクルを繰り返した後、ポア封止層は全体として5から50オングストロームの厚さを有し得る。
ブロック130で形成されたポア封止層は、図2Bに示すポア封止層240であり得る。ブロック130で導電性表面に形成されたポア封止残留物は、ポア封止残留物242A,242B(集合的に242)であり得る。ポア封止層240は、多孔性低誘電率絶縁層、例えば側壁220に、導電性表面、例えば配線構造214A,214Bの上面208A,208Bに形成されたポア封止残留物242の堆積レートに対して、より高い堆積レートで形成される。従って、多孔性低誘電率絶縁層の上に形成されたポア封止層240の部分は、導電性表面の上に形成されたポア封止残留物242の部分よりも厚い。
ブロック140で、堆積したポア封止層は、堆積後の処置プロセスに晒され得る。処置プロセスは、UVアシスト処置プロセスであり得る。処置プロセスは、ポア封止層を堆積したチャンバ或いは別のチャンバで実施され得る。一実施態様で、処置プロセスが封止層を堆積した処理チャンバと同じメインフレームにあるロードロックチャンバで実施される。UVアシスト処置プロセスは、多孔性低誘電率誘電膜及びポア封止層が設けられた基板を配置したチャンバに、反応性ガスを流入させることを含み得る。一以上のUVランプを起動することによりUVエネルギーが封止層に案内される。チャンバ圧力は、約6Torrから約200Torr(例えば、約10Torrから約50Torr、約20Torrから約30Torr)の範囲であり得る。UV出力は、約20パーセントから約100パーセント(例えば、約20パーセントから約80パーセント、約30%から約50%)であり得る。UV出力は、約200ワットから約1,000ワット(例えば、約200ワットから約500ワット、約250ワットから約350ワット)であり得る。反応性ガスは、約20sccmから約2,000sccm(例えば、約50sccmから約800sccm)の範囲の流量を有し得る。反応性ガスの例としては、NH、H、O、NO、CO、又はそれらの組み合わせが含まれる。Ar又はHeなどの不活性ガスも、約20sccmから約2,000sccm(例えば、約50sccmから約800sccm)の範囲の流量でチャンバに導入され得る。基板温度は、摂氏200度から摂氏400度であり得る。処理時間は、約10秒から約600秒(例えば、約60秒から約300秒、約120秒から約200秒)の範囲であり得る。
ロードロックチャンバでのUVアシスト処置プロセスは、多孔性低誘電率誘電膜及び封止層が設けられた基板を配置したロードロックチャンバに、反応性ガスを流入させることを含み得る。一以上のUVランプを起動することによりUVエネルギーが封止層に案内される。ロードロックチャンバ圧力は、約0.07Torrから約20Torrの範囲であり得る。UV出力は、約20パーセントから約100パーセント(例えば、約20パーセントから約80パーセント、約30%から約50%)であり得る。UV出力は、約200ワットから約1,000ワット(例えば、約200ワットから約500ワット、約250ワットから約350ワット)であり得る。反応性ガスは、約20sccmから約2,000sccm(例えば、約50sccmから約800sccm)の範囲の流量を有し得る。反応性ガスの例としては、NH3、H2、O2、N2O、CO2、又はそれらの組み合わせが含まれる。Ar又はHeなどの不活性ガスも、約20sccmから約2,000sccm(例えば、約50sccmから約800sccm)の範囲の流量でチャンバに導入され得る。基板温度は、摂氏200度から摂氏400度であり得る。処理時間は、約10秒から約600秒(例えば、約60秒から約300秒、約120秒から約200秒)の範囲であり得る。
ある実施態様では、ブロック140のUVアシスト処置プロセスがプラズマ処置に取って代わられてもよい。ロードロックチャンバでプラズマ処置が実施され得る。プラズマ処置は遠隔プラズマ処置であってもよい。プラズマは、適切な処置ガス、例えば、アンモニア、水素、又はそれらの組み合わせから生成されるのが典型的である。プラズマ処置は、多孔性低誘電率誘電膜が設けられた基板が配置されたロードロックチャンバに、プラズマ及びキャリアガスを流入させることを含み得る。アンモニア、水素、窒素、アルゴン、ヘリウム、又は組み合わせなどのキャリアガスがプラズマと共にロードロックチャンバに導入され得る。ロードロックチャンバ圧力は、約500mTorrから約20Torrの範囲であり得る。遠隔プラズマ出力は、約200ワットから約5,000ワットの範囲であり得る。キャリアガスは、約20sccmから約2,000sccm(例えば、約50sccmから約800sccm)の範囲の流量を有し得る。UV処理時間は約5秒から約120秒の間であり得る。
処置プロセスは、UVアシスト堆積処理と同じチャンバで実施され得る。一実施態様で、処理チャンバが、UVアシスト堆積処理とUV処置プロセスの両方を実施できる。封止層の処置プロセスは、封止層の表面により多くの反応部位を生成し、後続の封止層が処置済みの封止層に堆積するにつれ膜の品質が向上することとなる。封止層の堆積、及び封止層の処置は、得られた封止層が所定の厚さに達するまで繰り返され得る。ポア封止層は、各堆積サイクルで、約1オングストロームから約5オングストロームの範囲の厚さを有し得る。一実施態様で、所定の厚さが、堆積サイクルを繰り返した後、約5オングストロームから約200オングストローム(例えば、約5オングストロームから約50オングストローム)の範囲である。得られる封止層の厚さは用途に依存し得る。1つの堆積処理と1つの処置プロセスをサイクルとした場合、サイクルの数は約2から約100の範囲であり得る。
一実施態様で、ブロック130のUVアシスト光化学蒸着処理と、ブロック140のUV処置が、ブロック130の処理ガスがブロック140のUV処置の実施を停止する連続したUV処置であり得る。
図1に示すように、ブロック150で、ポア封止層が所定の厚さに到達しない場合、ブロック130及び140のうちの少なくとも1つが繰り返される。前に堆積し処置したポア封止層に中間ポア封止層を堆積するたびに、中間ポア封止層の表面が、堆積すべき次のポア封止層のために処置される。得られたポア封止層が所定の厚さに到達した場合、後続の処置プロセスは実施されなくてよい。サイクルの数は、得られるポア封止層の所望の厚さに依存し得る。サイクル処理を用いることにより、ポア封止層が多孔性低誘電率誘電体層に共形に堆積し、多孔性低誘電率誘電体層の表面での多孔性低誘電率誘電体層の空隙率を効率的に低減できる。
ブロック160で、オプショナルな堆積後の処置プロセスが実施されて、露出した導電性表面からポア封止残留物を除去し得る。除去したポア封止残留物は、ポア封止残留物242であり得る。オプショナルな後処置プロセスでは、導電性表面に形成した任意の酸化物汚染物も除去され得る。導電性表面からのポア封止残留物の除去、酸化物汚染物の除去、又はそれらの両方に十分な任意のオプショナルな後処置プロセスが用いられてよい。後処置プロセスは、ドライエッチング処理、ウェットエッチング処理、又は両方であり得る。
一実施態様では、後処置プロセスが、基板をNF及びNHプラズマ副生成物へ同時に暴露することを含む、遠隔プラズマアシストドライエッチング式のプロセスである。一実施例では、プラズマエッチング処理が、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なSiCoNi(登録商標)エッチング処理と同様であるか、或いはこれを含み得る。ある実施態様では、後処置プロセスが、励起したガスの種が処理中に基板を損傷しないよう、処理ガスにエネルギーを付与する遠隔プラズマ励起源の使用を含む。遠隔プラズマエッチングは、導電性表面上のポア封止残留物に対して大部分選択的(largely selective)であり得る。
別の実施態様では、後処置プロセスがウェットエッチング式のプロセスであり、希釈したフッ化水素酸(「HF」)溶液(例えば、HO:HFの比が約6:1から約1100:1、HO:HFの比が約800:1から約1000:1)にワークピースを晒すことを含み得る。ウェットエッチング式のプロセスは、分解の向上のために、過酸化物などの酸化剤、及び調整されたpHレベルを含み得る。別の例として、ビア底部を洗浄して酸化物残留物を除去するのにリフトオフ方法が用いられてもよい。そのようなプロセスは、酢酸洗浄ベースの酸化物還元であり得る。
別の実施態様で、後処置プロセスは、ウェットエッチング式プロセスであり、ワークピースを酢酸ベースの溶液に晒すことを含み得る。一実施態様で、酢酸ベースの溶液は、約20重量%未満の水(例えば、約1%から20重量%の水、銅メタライゼーションを有する基板を洗浄する具体的な実施態様では酢酸ベースの溶液が約1%から10重量%の水(例えば、約1%から5重量%の水))を含み得る。酢酸ベースの洗浄溶液は界面活性剤を含み得る。界面活性剤は、ポリオキシエチレンエーテル界面活性剤とエトキシ化アルコール界面活性剤との混合物であり得る。
更に別の実施態様で、後処置プロセスがスパッタリング洗浄処理である。スパッタリング洗浄処理は、アルゴンスパッタリング洗浄処理であり得る。一実施態様で、スパッタリング洗浄処理は、約10ワットから約1,000ワット(約10ワットから約400ワット、約20ワットから300ワット、約50ワットから約100ワット)のRFバイアス電力で実施され得る。スパッタリング洗浄処理は、225Wから300Wのプラズマ出力で実施され得る。ガス流量は、約3sccmから100sccmであり得る。スパッタリング洗浄処理の持続期間は、約5秒から35秒であり得る。スパッタリング洗浄処理は、プラズマエッチング処理を実施するように構成された処理チャンバ、例えば、アルゴンスパッタリング能力を有する前洗浄チャンバで実施され得る。適切なプラズマエッチング処理チャンバの例としては、カリフォルニア州サンタクララのApplied Materials,Inc.,より販売されているPC−XT(登録商標)ラインの処理チャンバ、又はPreclean IIラインの前洗浄チャンバのうちの任意のものが含まれる。
ブロック160のプロセスの後、ワークピース200の更なる処理が実施され得る。例えば、図2Dに示すように、デュアルダマシン構造が導電性材料270で充填され得る。導電性材料270は、任意の適切な材料、例えば、銅、アルミニウム、それらの合金などの金属を含み得る。導電性材料270は、めっき、化学又は物理蒸着など任意の適切なプロセスによって堆積され得る。ワークピース200は、導電性材料270、マスク層232、及びマスク層234の部分を除去して低誘電率誘電体層218の上部272を露出させるために、研磨処理(例えば、化学機械研磨)に晒され得る。バリア層274の堆積が後続する。図2Eに示すようにバリア層274の堆積後、プロセスは終了し、基板への更なる処理、例えば、デバイスの形成を完遂するための処理或いは他の所望の処理が続行し得る。
図3は、ツインボリューム処理システム300の断面図である。処理システム300は、カリフォルニア州サンタクララのApplied Materials,Inc.,から販売されている300mm又は450mm PRODUCER(登録商標)処理システムの例示的な実施態様を示す。本明細書に記載の実施態様はまた、ともにカリフォルニア州サンタクララのApplied Materials,Inc.,から入手可能なPRODUCER(登録商標)NANOCURE(商標)及びPRODUCER(登録商標)ONYX(商標)システム、又は他の製造者からのものを含む他の適応した処理システムに有利に利用される。
処理システム300は、基板を処理するための、チャンバ本体内の2つの別個の隣接する処理領域を提供する。処理システム300は、リッド302、ハウジング304、及び電源306を有する。ハウジング304の各々は、本体362の中に画定された2つの処理領域360の上方にそれぞれ配置された2つのUVランプ322の1つずつを覆う。処理領域360の各々は、処理領域360の中でワークピース200を支持するための、基板支持体324のような加熱式基板支持体を含む。UVランプ322は、ウインドウ308及びシャワーヘッド310を通じて各処理領域の中に配置された各基板上へと方向付けられる、UV光を発する。基板支持体324は、セラミック、又は、アルミニウムのような金属から作成され得る。基板支持体324は、本体362の底部を通って延在し処理領域360内の基板支持体324をUVランプ322に向かって、又は遠ざけるように移動させるために駆動システム330によって操作される、脚部328に連結され得る。駆動システム330はまた、基板照射の均一性を更に高めるために、硬化中に基板支持体324を回転かつ/又は並進させ得る。例示的なタンデム処理システム300は、カリフォルニア州Santa ClaraのApplied Materials,Inc.から市販されているProducer(商標)処理システムのような処理システムに組み込まれてもよい。
UVランプ322は、発光ダイオードのアレイ、又は、マイクロ波アークランプ、高周波フィラメント(容量結合プラズマ)ランプ、及び誘導結合プラズマ(ICP)ランプを含むがそれらに限定されない、任意の適切なUV照射源を利用する、バルブのアレイであり得る。UV光は、硬化プロセス中にパルス変調され得る。基板照射の均一性を高めるための様々なコンセプトには、入射光の波長分布を変動させるためにも用いられ得るランプアレイの使用、回転及び周期的な並進移動(スウィーピング)を含む基板とランプヘッドとの相対的な移動、及び、ランプリフレクタの形状及び/又は配置のリアルタイム変更が含まれる。UVランプ322は、紫外線の放射源であり、波長のスペクトル域が広範なUV及び赤外線(IR)の放射を伝送し得る。
UVランプ322は、170nmから400nmの広帯域の波長にわたる光を発し得る。UVランプ322内で用いるよう選択されたガスにより、発せられる波長が決定づけられ得る。UVランプ322から発せられたUV光は、リッド302の開孔内に配置されたウインドウ308及びガス分配シャワーヘッド310を通過することで、処理領域360に進入する。ウインドウ308は、OHを含まない合成石英ガラスで作成され、クラックせずに真空を維持するに十分な厚みを有し得る。ウインドウ308は、およそ150nmまでのUV光を通す、溶融シリカであり得る。シャワーヘッド310は、石英又はサファイアなどの透明な材料で作成され、ウインドウ308と基板支持体324との間に位置付けられ得る。リッド302は本体362を封止し、ウインドウ308はリッド302に封止されることから、処理領域360は、およそ1Torrからおよそ650Torrの圧力を維持することが可能な容積を提供する。処理ガス又は洗浄ガスは、2つの入口通路332のそれぞれを介して処理領域360に進入し得る。処理ガス又は洗浄ガスは次いで、共通の出口ポート334を介して、処理領域360を出て行く。
ハウジング304の各々は、電源306に隣接する開孔315を含む。ハウジング304は、ダイクロイックフィルムでコーティングされたキャスト石英ライニング336によって画定された、内側放物線状表面を含み得る。ダイクロイックフィルムは通常、高屈折率と低屈折率を交互に有する多様な誘電体材料から成る、周期多層膜を構成する。従って、石英ライニング336は、赤外光を通し、UVランプ322から発せられたUV光を反射し得る。石英ライニング336は、内側放物線状表面を移動させること、及び、その形状を変化させることによって、プロセス又はタスクの各々に一層適合するよう順応し得る。
本明細書に記載の実施態様は、配線集積フロー処理においてより誘電率の低い多孔膜のポア封止を可能にする。実施態様は、多孔性低誘電率膜を用いることによる容量における利益、ポア封止による良好な信頼性、及び、残留物の除去により良好なビアを提供するものである。
以上の記述は本開示の実装形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実装形態及びさらなる実装形態を考案してもよく、本開示の範囲は、下記の特許請求の範囲によって決定される。

Claims (15)

  1. 基板をUV放射及び第1の反応性ガスに晒すことであって、前記基板には開口特徴部が画定されており、前記開口特徴部が多孔性低誘電率誘電体層及び導電性材料によって画定されており、前記多孔性低誘電率誘電体層がケイ素及び炭素含有材料であること、並びに
    UVアシスト光化学蒸着を用いて、前記開口特徴部において前記多孔性低誘電率誘電体層の露出した表面にポア封止層を選択的に形成すること
    を含む方法であって、
    前記UVアシスト光化学蒸着が、前記多孔性低誘電率誘電体層にUV放射を送達しながら、前記基板を、キャリアガス、並びにケイ素、炭素、及び窒素を含む前駆体化合物に晒すこと
    を含む、方法。
  2. 前記基板をケイ素、炭素、及び窒素を含む前記前駆体化合物に晒した後、堆積した前記ポア封止層をUV放射に晒すこと
    を更に含む、請求項に記載の方法。
  3. 前記UVアシスト光化学蒸着、並びに、前記基板をケイ素、炭素、及び窒素を含む前記前駆体化合物に晒した後に堆積した前記ポア封止層をUV放射に晒すことを含む、堆積サイクルを、前記ポア封止層が所定の厚さに到達するまで繰り返すこと
    を更に含む、請求項に記載の方法。
  4. 前記開口特徴部の前記導電性材料からポア封止残留物を除去するために、前記基板を堆積後の処置プロセスに晒すこと
    を更に含む、請求項1から3のいずれか一項に記載の方法。
  5. 前記開口特徴部を第2の導電性材料で充填すること
    を更に含む、請求項1から4のいずれか一項に記載の方法。
  6. 前記開口特徴部の前記多孔性低誘電率誘電体層への前記ポア封止層の堆積レートが、前記開口特徴部の前記導電性材料へのポア封止残留物の堆積レートよりも大きい、請求項1から5のいずれか一項に記載の方法。
  7. 前記前駆体化合物が、トリス(ジメチルアミノ)メチルシラン、テトラキス(ジメチルアミノ)シラン、トリス(ジメチルアミノ)シラン、ビス(ジメチルアミノ)ジメチルシラン、ビス(ジメチルアミノ)メチルビニルシラン、トリシリルアミン、ジメチルアミノトリメチルシラン、シクロトリシラザン、トリメチルトリビニルシクロトリシラザン、ヘキサメチルシクロトリシラザン、ノナメチシクロトリシラザン、又はそれらの組み合わせから選択される、請求項1から6のいずれか一項に記載の方法。
  8. 前記キャリアガスが、ヘリウム、アルゴン、窒素、又はそれらの組み合わせから選択される、請求項1から7のいずれか一項に記載の方法。
  9. 前記ポア封止層が、前記堆積サイクルの各々において、約1オングストロームから約5オングストロームの範囲の厚さを有する、請求項に記載の方法。
  10. 積した前記ポア封止層をUV放射に晒すことが、前記基板が配置されているチャンバに第2の反応性ガスを流入させることを含む、請求項2または3に記載の方法。
  11. 前記第2の反応性ガスが、NH、H、O、NO、CO、又はそれらの組み合わせから選択される、請求項10に記載の方法。
  12. 積した前記ポア封止層をUV放射に晒すことが、約6Torrから約200Torrの間のチャンバ圧力で行われる、請求項10または11に記載の方法。
  13. 前記所定の厚さが約5オングストロームから約200オングストロームの範囲である、請求項に記載の方法。
  14. 前記開口特徴部が、トレンチ、ビア、孔、開口、ライン、及びそれらの組み合わせから選択される、請求項1から13のいずれか一項に記載の方法。
  15. 前記第1の反応性ガスがNH を含む、請求項6に記載の方法。
JP2017567077A 2015-06-25 2016-05-26 側壁ポアの封止とビアの清浄性のための配線集積化 Active JP6821607B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/750,778 2015-06-25
US14/750,778 US9793108B2 (en) 2015-06-25 2015-06-25 Interconnect integration for sidewall pore seal and via cleanliness
PCT/US2016/034434 WO2016209539A1 (en) 2015-06-25 2016-05-26 Interconnect integration for sidewall pore seal and via cleanliness

Publications (3)

Publication Number Publication Date
JP2018520518A JP2018520518A (ja) 2018-07-26
JP2018520518A5 JP2018520518A5 (ja) 2019-07-04
JP6821607B2 true JP6821607B2 (ja) 2021-01-27

Family

ID=57585549

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017567077A Active JP6821607B2 (ja) 2015-06-25 2016-05-26 側壁ポアの封止とビアの清浄性のための配線集積化

Country Status (6)

Country Link
US (1) US9793108B2 (ja)
JP (1) JP6821607B2 (ja)
KR (1) KR102565172B1 (ja)
CN (1) CN107743651B (ja)
TW (1) TWI700389B (ja)
WO (1) WO2016209539A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
US11177127B2 (en) 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US11837618B1 (en) 2020-08-21 2023-12-05 Samsung Electronics Co., Ltd. Image sensor including a protective layer

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07107190B2 (ja) * 1984-03-30 1995-11-15 キヤノン株式会社 光化学気相成長方法
US6440289B1 (en) * 1999-04-02 2002-08-27 Advanced Micro Devices, Inc. Method for improving seed layer electroplating for semiconductor
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7276441B1 (en) 2003-04-15 2007-10-02 Lsi Logic Corporation Dielectric barrier layer for increasing electromigration lifetimes in copper interconnect structures
JP2005167081A (ja) * 2003-12-04 2005-06-23 Renesas Technology Corp 半導体装置およびその製造方法
US7309658B2 (en) 2004-11-22 2007-12-18 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070278682A1 (en) 2006-05-31 2007-12-06 Chung-Chi Ko Self-assembled mono-layer liner for cu/porous low-k interconnections
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
JP5548332B2 (ja) 2006-08-24 2014-07-16 富士通セミコンダクター株式会社 半導体デバイスの製造方法
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
JP2008263097A (ja) * 2007-04-13 2008-10-30 Toshiba Corp 半導体装置及び半導体装置の製造方法
US7781332B2 (en) * 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US8105465B2 (en) * 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US8492170B2 (en) * 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US8216861B1 (en) * 2011-06-28 2012-07-10 Applied Materials, Inc. Dielectric recovery of plasma damaged low-k films by UV-assisted photochemical deposition
KR20150010720A (ko) * 2012-04-25 2015-01-28 어플라이드 머티어리얼스, 인코포레이티드 Uv 기반 실릴화 챔버 세정을 위한 방법
WO2013177003A1 (en) * 2012-05-25 2013-11-28 Applied Materials, Inc. Conformal sacrificial film by low temperature chemical vapor deposition technique
US9058980B1 (en) * 2013-12-05 2015-06-16 Applied Materials, Inc. UV-assisted photochemical vapor deposition for damaged low K films pore sealing
US10049921B2 (en) * 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor

Also Published As

Publication number Publication date
KR20180012878A (ko) 2018-02-06
CN107743651A (zh) 2018-02-27
TW201706448A (zh) 2017-02-16
TWI700389B (zh) 2020-08-01
US20160379819A1 (en) 2016-12-29
WO2016209539A1 (en) 2016-12-29
US9793108B2 (en) 2017-10-17
CN107743651B (zh) 2022-02-01
KR102565172B1 (ko) 2023-08-10
JP2018520518A (ja) 2018-07-26

Similar Documents

Publication Publication Date Title
KR101698628B1 (ko) 계면 표면의 원격 플라즈마 프로세싱
US7851232B2 (en) UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8536073B2 (en) Hardmask materials
TWI392024B (zh) 將溼蝕刻之底切最小化以及提供超低介電常數(k<2.5)之介電質封孔之方法
US9478460B2 (en) Cobalt selectivity improvement in selective cobalt process sequence
JP2018011061A (ja) 気相化学曝露による低誘電率誘電体の損傷修復
US8753449B2 (en) Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
KR20150010720A (ko) Uv 기반 실릴화 챔버 세정을 위한 방법
JP6821607B2 (ja) 側壁ポアの封止とビアの清浄性のための配線集積化
US8993444B2 (en) Method to reduce dielectric constant of a porous low-k film
US9058980B1 (en) UV-assisted photochemical vapor deposition for damaged low K films pore sealing
US9269563B2 (en) Methods for forming interconnect structure utilizing selective protection process for hardmask removal process
JP2022534801A (ja) 高エネルギー低線量プラズマを用いた窒化ケイ素ベースの誘電体膜の後処理の方法
KR20070071025A (ko) 씨모스이미지센서의 금속배선 제조 방법
US7338903B2 (en) Sequential reducing plasma and inert plasma pre-treatment method for oxidizable conductor layer
US7763538B2 (en) Dual plasma treatment barrier film to reduce low-k damage

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190527

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190527

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200721

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201015

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201208

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210106

R150 Certificate of patent or registration of utility model

Ref document number: 6821607

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250