TWI402964B - 層間絕緣膜及配線構造與此等之製造方法 - Google Patents

層間絕緣膜及配線構造與此等之製造方法 Download PDF

Info

Publication number
TWI402964B
TWI402964B TW095122034A TW95122034A TWI402964B TW I402964 B TWI402964 B TW I402964B TW 095122034 A TW095122034 A TW 095122034A TW 95122034 A TW95122034 A TW 95122034A TW I402964 B TWI402964 B TW I402964B
Authority
TW
Taiwan
Prior art keywords
film
gas
fluorinated carbon
layer
wiring structure
Prior art date
Application number
TW095122034A
Other languages
English (en)
Other versions
TW200721443A (en
Inventor
Tadahiro Ohmi
Original Assignee
Univ Tohoku
Found Advancement Int Science
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Univ Tohoku, Found Advancement Int Science filed Critical Univ Tohoku
Publication of TW200721443A publication Critical patent/TW200721443A/zh
Application granted granted Critical
Publication of TWI402964B publication Critical patent/TWI402964B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

層間絕緣膜及配線構造與此等之製造方法
本發明係關於半導體元件、半導體晶片裝載基板、配線基板等基板之多層配線構造,尤關於層間絶緣膜之構造,並關於具有該多層配線構造之半導體裝置、配線基板、及含有該等之電子裝置。再者,本發明係關於該多層配線構造之製造方法,及具有該多層配線構造之半導體裝置、配線基板、及含有該等之電子裝置之製造方法。
以往,在半導體基板等多層配線構造之中,為了配線層間之絶緣,形成有層間絶緣膜。
像這種多層配線構造,配線間之寄生電容及配線電阻所致信號延遲之問題變得不能忽視,要求使用具有低介電常數(Low-k)之層間絶緣膜。
像這種層間絶緣膜,氟化碳膜(以下稱為CFx 膜)由於低介電常數極低,因此能降低配線間之寄生電容之情事受到注目。但是,CFx 膜非常不耐水,並且緊黏性差。因此,CFx 膜雖形成在SiCN層、Si3 N4 層或SiO2 層等之底層上,但是,CFx 膜之底面及表面(也就是說,膜形成之起點及終點)有問題。
以往,CFx 膜例如專利文獻1所示,係使用氟化碳氣體(稱為CFx 氣體,例如C5 F8 氣體)以電漿處理裝置來成膜。
該CFx 氣體如專利文獻2所示,原是用在蝕刻,如果電漿處理溫度高,則底層被蝕刻而有產生氟化矽(SiF4 )氣體的問題。
又,有自已成膜CF膜之表面,水分、CFx 、SiF4 等氣體脫離而成為污染源之問題。
專利文獻3揭示,將已成膜之CFx 膜於N2 氣體環境中於400℃~450℃藉由進行回火,而使成膜後之脫離氣體少。
再者,就基底金屬而言,於在矽半導體上形成氧化膜、氮化膜、氧氮化膜等時使用稀有氣體之電漿處理,係使用單一稀有氣體進行處理。使用單一稀有氣體時,為了使電漿對後處理的損害減小,係增大與電子之碰撞剖面積,且使用電漿之電子溫度低的氪氣(Kr)或氙氣(Xe)(例如,參照專利文獻4)。
專利文獻1:日本特開2002-220668號公報專利文獻2:日本特開2002-16050號公報專利文獻3:日本特開平11-162962號公報專利文獻4:日本特開2002-261091號公報
所以,本發明之一目的為提供一安定之半導體裝置等之層間絶緣膜,其低介電常數且不產生CFx 、SiF4 等氣體。
又,本發明另一目的為提供一安定之半導體裝置等之層間絶緣膜之製造方法,該層間絶緣膜低介電常數且不產生CFx 、SiF4 等氣體。
又,本發明又另一目的為提供一配線構造,具有低介電常數且不產生CFx 、SiF4 等氣體之安定之半導體裝置等之層間絶緣膜。
又,本發明另一目的為提供一配線構造之製造方法,該配線構造具有低介電常數且不產生CFx 、SiF4 等氣體之安定之半導體裝置等之層間絶緣膜。
又,本發明又另一目的為,提供一製造前述層間絶緣膜與前述配線構造之製造方法。
依照本發明之一態樣,可得到一層間絶緣膜,其具有形成於底層上之絶緣膜,特徵在於:有效介電常數為3以下。
在此,本發明之一態樣中,前述層間絶緣膜之中,較佳為前述絶緣膜具有:第1氟化碳膜,形成於前述底層上;及第2氟化碳膜,形成於前述第1氟化碳膜上,並且具有較前述第1氟化碳膜之介電常數為低之介電常數。
又,前述層間絶緣膜之中,較佳為前述第1氟化碳膜之厚度為5~10nm,前述第2氟化碳膜厚度為280~500nm。又前述第1及第2氟化碳膜較佳為各為低介電常數膜。尤其是,前述第2氟化碳膜之介電常數較佳為1.5~2.5。又,前述底層較佳為含有形成於基體上之SiCN層、氮化矽(Si3 N4 )層、SiCO層、及SiO2 層中至少其一。尤其是,前述第1氟化碳膜係為了防止由於與前述底層之反應產生生氟矽烷氣體而設置。
又,前述第1氟化碳膜可使用Xe或Kr氣體產生之電漿以CVD形成,前述第2氟化碳膜可使用Ar氣體產生之電漿以CVD形成。
又,前述第2氟化碳膜之表面較佳為被氮化,前述表面氮化膜之厚度為1~5nm,較佳為為2~3nm。
再者,可具有形成於前述絶緣膜上之Si3 N4 、SiCN及SiCO中至少其一構成的膜。
又,依照本發明之另一態樣,可得到一種成膜方法,其特徵在於:於使用氟化碳氣體及2種以上不同稀有氣體於底層上形成氟化碳膜之方法中,具有:第1步驟,藉由使用Ar氣體以外之稀有氣體產生電漿,於前述底層上形成第1氟化碳膜;及第2步驟,使用Ar氣體產生電漿而在前述第1氟化碳膜上形成第2氟化碳膜。
在此,本發明另一態樣之前述成膜方法之中,較佳為前述第1氟化碳膜成膜5~10nm成膜,並於其上成膜前述第2氟化碳膜280~500nm。尤其是,前述第2氟化碳膜較佳為比起第1氟化碳膜為低介電常數之膜。
又,前述底層較佳為含有形成於基體上之SiCN層、Si3 CN4 層、SiO2 層及SiCO層中至少其一,前述第1步驟使用之稀有氣體較佳為Xe氣體。
再者,於前述稀有氣體中加入氮化性氣體及氧化性氣體,並流通SiH4 氣體以作為反應性氣體,而形成由Si3 N4 或SiCN及SiCO之中至少一種構成之膜。
又,依照本發明之另一態樣,可得到一種多層配線構造之製造方法,其特徵在於:於半導體裝置等多層配線構造之製造方法之中,具有形成氟化碳膜作為層間絶緣膜之至少一部分之步驟,及將該氟化碳膜進行回火處理之步驟,及使前述氟化碳膜表面氮化之步驟。
在此,本發明之中,前述回火步驟較佳為不暴露於大氣而於惰性氣體中進行。又,前述氮化步驟較佳為使用Ar氣體及N2 氣體在電漿中進行,或在使用N2 氣體之電漿中進行。在此,於後者之情形,較佳為於200℃以上進行,更佳為於300~400℃進行。
再者,前述回火步驟之前或後,較佳為設有對前述氟化碳膜之表面照射稀有氣體電漿之步驟。
又,依照本發明之另一態樣,可得到一種配線構造,其特徵在於:於具有形成在底層上之絶緣膜之層間絶緣膜、形成於前述層間絶緣膜之接觸孔,及充填於前述接觸孔内之金屬之配線構造中,前述層間絶緣膜的有效介電常數為3以下。
在此,本發明之另一態樣之中,較佳為充填於前述接觸孔内之金屬含有銅,且在前述層間絶緣膜與前述銅之間隔著至少含有鎳之氟化物層之阻障層。前述鎳之氟化物例如有二氟化鎳,但不限於該等。該鎳之氟化物可以藉由MOCVD形成,或將鎳以PVD(物理氣相沉積)成膜後進行氟化處理而形成。前述層間絶緣膜較佳為氟化碳膜。
又,前述絶緣膜較佳為具有:第1氟化碳膜,形成於前述底層上;第2氟化碳膜,形成於前述第1氟化碳膜上,具有比起前述第1氟化碳膜之介電常數為低之介電常數,更佳為前述第1氟化碳膜之厚度為5~10nm,前述第2氟化碳膜之厚度為280~500nm。尤佳為前述第2氟化碳膜之介電常數為1.5~2.5。又。前述底層較佳為含有形成在基體上之SiCN層、Si3 CN4 層及SiO2 層中至少其一。在此,前述第1氟化碳膜係為了防止由於與前述底層之反應產生氟化矽氣體而設置。
再者,較佳為具有含有形成於前述氟化碳膜上之Si3 N4 、SiCN及SiCO之中至少一種之膜,更佳為具有在前述第2氟化碳膜之表面部分經過氮化處理之膜。
又,依照本發明之另一態樣,可得到一種配線構造之製造方法,其特徵在於具有:第1步驟,使用氟化碳氣體及電漿之電子溫度低於Ar之稀有氣體在底層上形成第1氟化碳膜,及第2步驟,藉由使用Ar氣體產生之電漿而於前述第1氟化碳膜上形成第2氟化碳膜。
在此,較佳為前述第1氟化碳膜形成厚度為5~10nm,且使前述第2氟化碳膜形成為厚度280~500nm。又,前述第1及第2氟化碳膜較佳為低介電常數膜,尤其是,前述第2氟化碳膜之介電常數較佳為調整為1.5~2.5。
又,前述底層較佳為含有形成於基體上之SiCN層、Si3 N4 層、SiCO層及SiO2 層中至少其一。前述第1步驟使用之稀有氣體較佳為Xe氣體。
又,於前述稀有氣體中加入氮化性氣體及氧化性氣體,並流通SiH4 氣體以作為反應性氣體,而形成SiN4 、SiCN及SiCO中至少其一的膜。又,較佳為對前述第2氟化碳膜之表面進行氮化處理。
又,本發明之又一態樣之中,較佳為在前述第1步驟、前述第2步驟以外更包含:在前述氟化碳膜形成接觸孔之步驟,及於前述接觸孔中充填金屬之步驟。又,較佳為更包含形成用以防止充填於前述接觸孔之金屬擴散的阻障層的步驟。
再者,依照本發明之其他態樣,尚能得到一種腔室之清潔方法,其特徵在於:在經過減壓的腔室内使產生電漿而於設在前述腔室内之基板上形成氟化碳膜後,於前述腔室內以氫氣與氧氣之混合氣體產生電漿,以進行前述腔室内壁之清潔。
依照本發明,能提供低介電常數且不產生CFx 、SiF4 等氣體之安定半導體裝置的層間絶緣膜及其製造方法。
又,依照本發明,能提供具有前述層間絶緣膜之配線構造及製造該等之方法。
再者,依照本發明,藉由利用Xe或Kr氣體之電漿CVD處理能首先形成氟化碳膜,藉此減低SiF糸氣體之脫離氣體,並能防止氟化碳膜之剝離。並且,利用Ar氣體產生之電漿中進行CVD處理形成氟化碳膜之主體部分。能降低氟化碳膜之有效介電常數。
又,依照本發明,藉由將氟化碳膜表面氮化,能大幅減少脫離氣體,並且有防止形成在氟化碳膜上之絶緣膜剝離的效果。
又,依照本發明,藉由在介層洞或接觸孔内面設置二氟化鎳之阻障層,可防止洞內之Cu擴散至阻障層。
實施發明之最佳形態
於說明本發明實施例之前,為了使本發明容易理解,就習知技術之半導體裝置之層間絶緣膜構造,邊參照圖1邊説明。
如果參照圖1,於習知技術製作之半導體裝置,在形成有多數半導體元件之半導體基板(未圖示)上所設置之層間絶緣膜構造(配線層間之連接部分只顯示1處)100,具有:由碳化矽(SiC)等所構成之阻障覆蓋層71、形成在阻障覆蓋層71之上之含碳矽氧化物(SiOC)膜72、設於該SiOC膜72之介層洞(VIA)7、設於PAR(低介電常數矽(Si)層73)之溝9、被覆該等之氧化矽(SiO2 )所構成之硬遮障74。介層洞7中填埋有Cu等金屬,形成電極或配線8,且在其上端於溝9内填埋有Cu等而形成配線11。
接著,就本發明之實施例,邊參照圖式邊加以說明。
如圖2所示,本發明之實施例之半導體裝置中,設置在形成有多數半導體元件之半導體基板(未圖示)之多層配線構造(僅顯示配線層間之連接部分1處)10係在碳氮化矽(SiCN)所構成之阻障覆蓋層1之上,形成氟化碳膜(以下,稱為CFx 膜)所構成之第1層間絶緣膜2。
設有將第1層間絶緣膜2及阻障覆蓋層貫通之介層洞7。該介層洞7中形成有Cu所構成之電極或配線8。再者,第1層間絶緣膜2之上隔著由SiCN所構成之第1黏著層3而形成有氟化碳膜所構成之第2層間絶緣膜4。再者,於第2層間絶緣膜4之上,隔著SiCN所構成之第2黏著層5,設有氧化矽(SiO2 )所構成之硬質遮障層6。
又,於硬質遮障層6至層間絶緣膜2為止設有溝9,由Cu所構成之配線11填埋在該溝內。
在此,阻障覆蓋層1及第1及第2黏著層3、5之介電常數約4.0,但是就阻障覆蓋層1而言,可使用k小於2.5之烴,或黏著層可使用更薄之k=3.0之SiCO膜。
又,層間絶緣膜2、4由k=2.0之氟化碳(CFx )膜所構成,但是也可進一步形成k=1.7左右之氟化碳膜。
又,硬質遮障層6使用k=4.0之SiO2 膜,但是,也可使用k小於3.0之SiCO膜。
如果參照圖3,在SiCN層所構成之底層1上利用C5 F8 氣體之Xe電漿進行分解以CVD形成薄的CFx 膜2a,並於其上將C5 F8 氣體使用氬(Ar)電漿而分解以CVD形成厚的CFx 膜2b。
再者,於CFx 膜2b成膜後或回火後,利用對Ar氣體產生之電漿導入N2 氣體而以生成之氮自由基使CFx 膜2b表面氮化,使來自CFx 膜之脫離氣體減少。藉此,使膜剝離消除,且可控制介電常數於1.7~2.2之範圍。
如果參照圖4,電漿激發用微波17自隔著絶緣體板設置在電漿處理裝置102上部之輻射狀狹縫天線(RLSA)12透過其下之絶緣體板21及噴淋板23而放射於電漿產生區域。Xe氣體或Ar氣體透過氣體導入管13而自上段噴淋板23對電漿產生區域均勻地吹出,並藉著放射於此之微波17來激發電漿。
微波激發電漿處理裝置之擴散電漿區域設置有下段噴淋板22。
在此,如果於上段噴淋板23透過導入管13流過Xe、Kr或Ar氣體,於下段噴淋板22自導入管流過SiH4 氣體,則能於基板例如矽晶圓14表面形成矽(SiO2 )膜。
又,如果自上段噴淋板23流過Kr、Xe、或Ar氣體、自下段噴淋板22流過Cx Fy (C5 F8 、C4 F8 等)氣體,則能形成氟化碳膜。
為了氧化或氮化處理,使氧氣或N2 /H2 或NH3 氣體,於氧氮化處理之情形,使O2 /NH3 或O2 /N2 O、O2 /NO氣體等氧化性氣體與氮化性氣體之混合氣體自上段噴淋板23流過即可。
被處理物之基板(例如矽晶圓)14設置於處理室24内電漿擴散而直接照射之場所,利用電漿所激發之氧自由基等而氧化。此時被處理物,希望設於處理室24内中非電漿激發之空間而是電漿擴散之空間。
又,處理室31内之排放氣體透過未圖示之排氣埠,而通過排氣管内通往小型泵之任一流入口各自導入小型泵。
如圖5所示,如果噴淋板與電極間之距離成為30mm以上,則電子溫度成為大致,且以Ar、Kr、Xe之順序電子溫度變低。
以上任一情形中,與Ar相比,Kr、Xe氣體之電子溫度低而與電子之衝突剖面積變小、離子化能量也小,因此如果對Xe(或Kr)氣體照射微波,則電漿之電子溫度變低,能抑制成膜中對所形成各種膜之損害,並且能抑制C5 F8 氣體之蝕刻作用。
如果參照圖6,脫離氣體測定系統103具有脫離氣體測定裝置30及光離子測定裝置。
光離子測定裝置之加熱爐40内部配置有樣本46。於加熱爐40,就載流氣體而言,Ar如箭頭45所示,利用質量流(mass flow)控制器44,調整為100SCCm之流量而透過導入配管47導入加熱爐40。
加熱爐40中設有加熱用加熱器41及光離子偵測器42。從試樣46游離之氣體,如箭頭55所示,透過閥53所設置之配管48而導入脫離氣體測定裝置30内部。又,配管48之中,為了排氣,設有閥51之排氣管52為分岐的。
脫離氣體測定裝置30之中設有放電電極32。又,脫離氣體測定裝置30内部之氣體,連接於真空泵37a、37b各自設置之配管36a、36b,該配管36a、36b合流成為排氣管38,以箭頭39所示排氣。另一方面,脫離氣體測定裝置30内部及設有與該等鄰接之放電電極32之部分,各設有配管34及35,配管34透過可變電阻控制閥61及質量流量計62a,以箭頭63所示,以流量600sccm排氣。另一方面,配管35透過質量流量計62b,以箭頭64所示,以流量550sccm排氣,2個配管34、35合流成為配管65,以箭頭66所示排氣。
在與脫離氣體測定裝置30之配管34之長度方向為相同部位之相異外周位置,Ar氣體如箭頭59所示,連接於用以藉由1SLM導入之質量流量控制器58所設置之配管33。又,較配管48之排氣用配管52更下流側設有閥53,再更下流側,設有將用以稀釋之Ar氣體以500sccm導入用之質量流量控制器57所設之配管56透過閥54而連接。配管48在與脫離氣體測定裝置30之排氣用配管35被設置之部位之長度方向之相同位置,連接於外周方向之相異位置。
其次,對於本發明實施形態之CFx 成膜處理詳加說明。
如果參照圖3,本發明實施形態之CFx 成膜處理之中,係使用圖4所示裝置,首先就底層而言,將SiCN或SiCO以使用SiH4 /C2 H4 /N2 或O2 等之電漿處理而形成。又,當然也可將矽烷氣體(SiH4 )/乙烯(C2 H4 )取代成有機矽烷。
其次,於該底層1上,使用氟化碳氣體作為反應氣體,以Xe電漿形成薄的5~10nm之第1CFx 膜2a。
在此,反應氣體之氟化碳氣體可使用以通式Cn F2 n (其中,n為2~8之整數)或Cn F2 n 2 (n為2~8之整數)所示不飽和脂肪族氟化物,較佳為含有八氟戊烯、八氟戊二烯、八氟環戊烯、八氟甲基丁二烯、八氟甲基丁烯、氟環丙烯或氟環丙烷之氟化碳、含氟環丁烯或氟環丁烷之氟化碳等以通式C5 H8 所示氟化碳。
又,在其上將Xe氣體換成Ar氣體,以反應性氣體使用C5 F8 氣體之Ar電漿,形成厚度380~500nm之第2Fx 膜2b。如果以Ar氣體之電漿成膜,由於CFx 膜之介電常數變低,因此藉此能使CFx 膜2之介電常數低成為1.7~2.2。
於基板上形成氟化碳膜後,於腔室内中以氫氣與氧氣之混合氣體產生電漿,可進行上述内壁之清潔。
又,成膜後或回火後,以Ar/N2 電漿,或N2 電漿進行CFx 膜之表面氮化。藉此,可減少來自CFx 膜之脫離氣體。
較佳為於成膜後表面氮化前進行回火。本說明書之中,回火可不使基板暴露於大氣而以原狀態在電漿腔室内進行,也可在另外的回火處理裝置進行。任一情形之中,氣體環境可為惰性氣體環境,壓力為大氣壓,較佳為於1Torr左右之減壓下進行。又,如後所述,於回火前或後,可將氟化碳膜以Ar電漿照射。
對圖2之配線構造之製造方法加以説明。如圖2所示,以阻障覆蓋層1作為底層,形成圖3所示第1層間絶緣膜2。藉由蝕刻該第1層間絶緣膜2,形成介層洞7。其次,於該介層洞7之内壁,就防止電極金屬往層間絶緣膜擴散之阻障層而言,將鎳之氟化物,較佳為二氟化鎳(以NiF2 表示)之膜,以PVD形成鎳膜,並以氟化處理,或以MOCVD直接沉積。
其次,同樣地,就黏著層所構成之底層3而言,形成SiCN層或含碳矽氧化物(SiCO)層,於其上與圖3所示同樣地,形成第1及第2CFx 膜所構成之層間絶緣膜4。在該層間絶緣層4上進一步就黏著用之底層3,形成SiCN層或SiCO層,並於該底層3之上,就硬質遮障層6而言,形成SiO2 或SiCO層。
在此,SiO2 層自如圖4所示電漿處理裝置102之上段噴淋板23導入Ar與O2 之混合氣體,並對下段噴淋板22導入SiH4 氣體即可。又,SiCO層與前述者為同樣。
其次,以蝕刻形成溝9,並於溝9内壁面形成一層未圖示之NiF2 阻障層,在該溝9就金屬而言充填Cu,而完成形成有配線11之配線構造10。
在此,對以矽化合物作為底層1,於其上形成CFx 膜時,SiFx 氣體脫離加以説明。
SiFx 氣體係在Si、SiO2 、Si3 N4 等層與CFx 膜之界面,由於反應而生成。
下記表1表示脫離氣體之離子化電位。如表1所示可知,SiFx 之離子化電位依SiF、SiF3 、SiF2 、SiF4 之順序變高。
該SiFx 氣體之脫離依存於起初成膜時之基底。
圖7顯示SiFx 脫離氣體在不同基底時之溫度依存性,(a)形成在Si基底上之CFx 膜(CFx /Si),(b)為形成在SiO2 基底上之CFx 膜(CFx /SiO2 ),(c)為形成在Si3 N4 基底上之CFX 膜(CFx /Si3 N4 )隨著時間,經過增加之溫度(如右邊刻度)時之脫離氣體量(左邊刻度)。如圖7(a)、(b)、(c)所示可知,藉由改變基底,會使SiFx 之脫離氣體變化。可瞭解於CFx /基底界面之反應,依Si、SiO2 、Si3 N4 之順序脫離氣體減少。
圖8顯示SiF系脫離氣體量之溫度依存性。如圖8所示可知,在SiO2 與CFx 之界面反應並產生SiFx 脫離氣體。因此,可理解界面反應之抑制為必要的。再者,具體的調查SiF4
圖9表示SiF4 譜帶之經時變化圖。如果參照圖9可得知,於標準CFx 膜之脫離氣體測定中,如果於CFx /SiO2 升溫,則產生SiF4 ,並持續16小時以上。因此,可得知於CFx 膜/SiO2 界面反應之抑制為必要的。
其次,調查於像這種底層上形成之CFx 膜之SiF系脫離氣體量的溫度依存性。
圖10顯示SiF系脫離氣體量之溫度依存性,(a)為以Ar成膜,(b)為以Xe成膜時之脫離氣體量之溫度依存性。條件為於壓力4Pa(30mTorr)、900W、X光繞射裝置(SSY-1)/Ar(Xe)=10/240(210)sccm進行。
由圖10(a)、圖10(b)可得知,相較於使用Ar作為稀釋氣體成膜時,使用Xe稀釋氣體成膜者能減低SiF系之脫離氣體。也就是說,低電子溫度為減低脫離氣體必要的。
其次,調查在Si基底上起初成膜時,使用Xe並之後換成Ar時,SiFx 脫離氣體量之溫度依存性。
圖11表示以Si為基底時,SiFx氣體量之溫度依存性。條件為:以C5 F8 作為反應氣體,使用Xe於26.6Pa(200mTorr)進行5秒電漿處理成膜,其次使用Ar於26.6Pa(200mTorr)電漿處理成膜,並於回火後使用Ar以26.6Pa(200mTorr)進行5秒處理。如圖11所示,藉由於起初成膜時使用Xe,能使SiFx 氣體減少。
圖12表示SiFx系脫離氣體量之溫度依存性,(a)為經過C5 F8 /Xe 26.6Pa(200 mTorr)5秒及C5 F8 /Ar 26.6Pa(200 mTorr)之處理後、回火後、以Ar 26.6Pa(200 mTorr)處理5秒,(b)為開啟Xe電漿,並導入C5 F8 之處理(5秒)、關閉C5 F8 之導入,並關閉Xe電漿後,以Ar/C5 F8 處理3分鐘,於Ar+N2 處理5秒後,CFx 膜所放出之脫離氣體量。
圖12(a)及圖12(b)可得知起初成膜時,藉由使用Xe並進行界面控制,SiFX 氣體減少。
其次,改變條件在Si底層上同樣地形成CFx 膜,並調查該SiF4 脫離氣體量之溫度依存性。
圖13表示以基底為Si時之SiFx 脫離氣體量的溫度依存性。其處理為,開啟Xe電漿,導入C5 F8 氣體,進行5秒處理,並關閉C5 F8 氣體,關閉Xe電漿,進行Ar/C5 F8 處理3分鐘,接著進行Ar+N2 表面氮化處理5秒。由圖13可得知藉由改變次序,SiFx 之脫離氣體量減少。
又,調查由Ar電漿產生之CFx 膜之TDS脫離氣體譜帶。
圖14表示在Si基底上形成120nm之CFx 膜及其TDS游離氣體譜帶。如圖14所示可知,如果自室溫至400℃以60℃/min之升溫速度升溫,則會產生CF系等脫離氣體。
圖15表示形成於SiO2 底層上之CF膜回火後之CFx 脫離氣體量的溫度依存性。如圖15所示可知,藉由將結合不充分的成分以回火除去,之後不產生分解反應,確認了回火為必要的。
圖16表示同樣將形成在SiO2 底層上之CF膜之CF膜回火後脫水分量之溫度依存性。如圖16所示可知,如果升溫則於原位(In-Situ)處理不產生水分,可得知In-Situ處理為必要的。
圖17表示使用圖5之裝置進行Ar/N2 電漿處理後之氟化碳脫離氣體特性及升溫脫離氣體分光分析(TDS)測定結果。又,升溫速率為0.17℃/秒。如圖5所示試樣,係使用直鏈C5 F8 作為圖4所示反應氣體,並於220℃成膜,於330℃、原位(In-Situ)回火後CFx 膜之脫離氣體特性。
如圖17所示,升溫開始40秒後,停止升溫,並於400℃保持一定溫度。於350℃附近,脫離顯著地增加,於較400℃稍低之溫度成為最大。
其次,對CFx 膜之脫離氣體量之溫度依存性以在Ar電漿處理中導入C5 F8 調查。
圖18表示將C5 F8 導入Ar電漿處理中,並於電漿處理中停止導入,於Ar電漿處理停止時之CFx 系脫離氣體量之溫度依存性,(a)表示CFx 脫離氣體之相對強度與時間之關係、(b)表示關於脫水分,H2 O濃度(ppb/cm2 )與時間之關係。如圖18(a)及圖18(b)所示可知,藉由使原料氣體在電漿處理中流動,未結合成分會減少。又,脫水分也減少,可得知有效表面積減少。
該CFx 膜之脫離氣體特性以CFx 膜形成後回火加以調查。
圖19表示CFx 膜之脫離氣體量之回火溫度依存性,(a)為CF系氣體之脫離氣體量、(b)為H2 O氣體之脫離氣體量。由圖19(a)及(b),可得知藉由將結合不充分的成分以400℃回火除去,之後不會發生分解反應處理。又可知如果升溫,則於原位(In-Situ)處理不產生水分,可知於回火時,原位(In-Situ)處理是必要的。
圖20表示由於CFx 膜放置時間之不同,各種游離氣體之譜帶,(a)為400℃回火後,放置於大氣12小時後測定,(b)不進行回火而於大氣放置48小時後測定結果。由圖30(a)、(b)之比較可得知由於大氣放置使HF游離氣體增加。此顯示大氣中之水分與CFx 膜反應了。
圖21表示Si系脫離氣體量之溫度依存性,(a)為CFx 脫離氣體、(b)為脫水分之溫度依存性。如果參照圖21(a)及圖21(b),係處理後以Ar電漿照射5秒,之後回火。藉由在回火前照射Ar電漿,能使CFx 脫離氣體減少至2/3,並且減少結合不充分的CFx 。又,如圖21(b)所示,脫水也減少成1/2,有效表面積減少。
再者,將CFx 膜於400℃回火後,以Ar/N2 電漿照射5秒,將表面氮化。
圖22表示表面氮化效果,回火後以Ar/N2 電漿照射5秒時之(a)為CFx 脫離氣體效果、(b)為脫水分效果。如果參照圖22(a)及圖22(b)可知,藉由回火後之Ar/N2 電漿照射,能減少CFx 氣體,為標準條件之1/3。又。脫水分也減少成1/3,得知有效表面積減少。
圖23表示將與圖17同樣地形成CFx 膜之試樣回火後,照射Ar/N2 電漿後試樣之X光光電子分光分析裝置(ESCA;Electron Spectroscopy for Chemical Analysis)之測定結果。由圖23之結果,僅在表面數nm偵測到N原子,瞭解到藉由回火使表面改質,使脫離氣體減少了。又,CFx 膜之介電常數在脫氣前為2.04,但脫氣後為2.08,未見到大變化。
圖24表示CFx 膜脫離氣體量之成膜條件依存性,(a)為CF系脫離氣體,(b)為H2 O系脫離氣體。如圖24所示可知,於400℃回火後,藉由照射Ar/N2 電漿5秒,將表面進行Ar/N2 處理(表面氮化),CFx 脫離氣體減少。可得知減少為未經回火處理之1/3。
圖25表示將CFx 膜形成400nm並回火後,以Ar/N2 電漿處理之脫離氣體結果,(a)為通常條件之不氮化,(b)有氮化者。可得知藉由Ar/N2 之氮化處理使脫離氣體少。
圖26表示在形成於Si基底上之CFx 膜上以原位處理(In-Situ)形成之SiCN膜(SiCN/CFx )的游離氣體TDS譜帶。如圖26所示可知,藉由在CFx 膜上形成作為覆蓋層之SiCN膜,游離氣體減少了。
其次,對於回火及之後氮化處理進行之表面改質,使用圖27至31加以説明。
圖27表示以200℃在SiO2 上形成CFx 膜,並於Ar中於350℃回火30分鐘時,CF系之脫離氣體量之溫度依存性。如果參照圖27,可得知藉由將結合不充分的成分以回火除去,之後即使升溫到400℃也不產生分解反應,可見到CF系氣體之脫離氣體量減少。
圖28表示於200℃成膜後,於350℃回火後,於200℃以Ar/N2 電漿進行氮化處理後,脫離氣體量之溫度依存性。如圖28所示,在SiO2 上形成CFx 膜,並接著於350℃回火後,於200℃照射Ar/N2 電漿時,脫離氣體顯著減少,可瞭解膜表面改質效果大。
圖29表示於350℃在Si基板上形成CFx 膜,並且不回火時之脫離氣體量。
圖30表示於350℃在Si基板上形成CFx 膜,並於350℃回火後,於200℃以Ar/N2 電漿進行表面氮化時之脫離氣體量圖。將圖30與圖29比較可知,藉由回火將表面氮化,脫離氣體受抑制及使表面改質。
圖31表示於400℃在Si基板上形成CFx 膜,並且不回火時之脫離氣體量。圖31所示可知,於400℃在Si上形成CFx 膜,接著於350℃回火後,可看到脫離氣體。
圖32表示於400℃在Si基板上形成CFx 膜,並且不回火而照射Ar/N2 電漿照射時之脫離氣體量。如圖32所示可知,如果於400℃以Ar/N2 電漿進行氮化處理,則脫離氣體增加。
因此,由圖27至圖32之結果可知,回火效果大,及藉由200℃左右之Ar/N2 電漿進行表面氮化,脫離氣體減少且表面被改質。再者,確認了緊黏力增加。再者,由其他實驗結果,瞭解如果於超過200℃之高溫(較佳為300℃~400℃)氮化,則緊黏力變大,且不使用Ar氣體僅用N2 之電漿處理,不僅緊黏力提高而且表面不平坦少,脫離氣體量減少。
其次,參照圖33至圖39,說明於阻障層使NiF2 膜之構造及效果。
圖33(a)及(b)表示NiF2 膜之形成方法及用以對照之Ni膜之形成方法。圖34(a)及(b)各表示Ni膜及NiF2 膜之組成。如圖34(a)及(b)所示,於SiO2 上各形成有Ni膜(350℃回火後)及NiF2 膜。
圖35(a)及(b)表示以Ni形成50nm之膜作為阻障金屬層,並於其上形成Cu膜時之回火前後之狀況,Ni擴散於Cu膜内,Cu擴散於Ni内。
圖36(a)及(b)表示形成NiF2 膜50nm作為阻障(金屬)層時,回火前後沒有Cu擴散也沒有Ni擴散之情形,使用NiF2 膜作為阻障層時,對於Cu有完全的阻障作用。
圖37表示NiF2 成膜後於深度方向之元素分析結果,圖38為在NiF2 膜上形成有Cu膜之金屬組織構造剖面SEM照片,右邊照片為左邊照片之部分放大。
圖39(a)及圖39(b)表示形成NiF2 膜10nm作為阻障層之樣本相互擴散評價結果,(a)為相互擴散試驗前(350℃回火前),及(b)為相互擴散試驗後(於350℃回火後)之圖。如圖39(a)及(b)所示,即使在回火後,也沒有Cu擴散及Ni擴散,顯示對Cu有完全阻障的作用。
[產業上之可利用性]
如以上説明,本發明之由CFx 膜所構成之層間絶緣膜及其製造方法,及配線構造及其製造方法適於具有低介電常數之層間絶緣膜及配線構造的半導體裝置、配線基板、或含有該等之電子裝置。
1...阻障覆蓋層或底層
2...第1層間絶緣膜或CFx
2a...CFx
2b...CFx
3...第1黏著層或底層
4...第2層間絶緣膜
5...第2黏著層
6...硬質遮障層
7...介層洞
8...電極或配線
9...溝
10...配線構造
11...配線
12...輻射狀狹縫天線(RLSA)
13...氣體導入管
14...矽晶圓
17...微波
21...絕緣體板
22...下段噴淋板
23...上段噴淋板
24...處理室
26...導入管
30...脫離氣體測定裝置
31...處理室
32...放電電極
33...配管
34...配管
35...配管
36a...配管
36b...配管
37a...真空泵
37b...真空泵
38...排氣管
39...箭頭
40...加熱爐
41...加熱用加熱器
42...光離子偵測講
44...質量流量控制器
45...箭頭
46...樣本
47...導入配管
48...配管
51...閥
52...排氣管
53...閥
54...閥
55...箭頭
56...配管
57...質量流量控制器
58...質量流量控制器
59...箭頭
61...可變電阻控制閥
62a...質量流量計
62b...質量流量計
63...箭頭
64...箭頭
65...配管
66...箭頭
71...阻障覆蓋層
72...含碳矽氧化物(SiOC)膜
73...低介電常數矽(Si)層
74...硬遮障
100...層間絶緣膜構造
102...電漿處理裝置
103...脫離氣體測定系統
圖1係顯示習知技術之半導體裝置之層間絶緣膜構造。
圖2係顯示本發明之實施例之配線構造。
圖3係顯示使用圖2之配線構造之層間絶緣膜構造之概略剖面圖。
圖4係顯示本發明之實施例之電漿處理裝置之概略剖面圖。
圖5係顯示Ar電漿、Kr電漿、Xe電漿之噴淋板與電極間之距離及電子密度(ev)之關係。
圖6係顯示脫離氣體測定實驗裝置之概略構成。
圖7係顯示SiFx 脫離氣體之基底依存性圖,各顯示:(a)為形成於Si基底上之CFx 膜(CFx /Si)、(b)為形成於SiO2 基底上之CFx 膜(CFx /SiO2 )、(c)為形成於Si3 N4 基底上之CFx 膜(CFx /Si3 N4 )。
圖8係顯示SiF系氣體量之溫度依存性圖。
圖9係顯示SiF4 譜帶之經時變化圖。
圖10係顯示SiF糸脫離氣體量之溫度依存性圖,各顯示:(a)為以Ar成膜,(b)為以Xe成膜時之脫離氣體之溫度依存性。
圖11係顯示基底為Si時之SiFx 氣體量之溫度依存性圖。
圖12係顯示SiFx 系脫離氣體之溫度依存性圖,各顯示:(a)為C5 F8 /Xe26.6Pa(200mTorr)、5秒及C5 F8 /Ar26.6Pa(200mTorr)處理後、回火後、Ar26.6Pa(200mTorr)5秒,(b)為開啟Xe電漿、導入C5 F8 之處理(5秒)、關閉C5 F8 之導入,關閉Xe電漿後,Ar/C5 F8 處理3分鐘、Ar+N2 處理5秒後,來自CFx 膜之脫離氣體量。
圖13係顯示基底為Si時之SiFx 脫離氣體量之溫度依存性。
圖14係顯示在Si基底上形成120nm之CFx 膜後,其TDS游離氣體譜帶。
圖15係顯示形成於SiO2 底層上之CF膜回火後之CFx 脫離氣體量的溫度依存性。
圖16係顯示同樣形成在SiO2 底層上之CF膜之CF膜回火後的脫水分量的溫度依存性。
圖17係顯示使用圖5之裝置進行Ar/N2 電漿處理後之氟化碳脫離氣體特性及升溫脫離氣體分光分析(TDS)測定結果。
圖18係顯示將C5 F8 導入Ar電漿處理中,並於電漿處理中停止導入,並停止Ar電漿處理時之CFX 系脫離氣體量之溫度依存性圖,(a)為CFx 脫離氣體之相對強度與時間關係,(b)為就脫水分而言,H2 O濃度(ppb/cm2 )與時間之關係。
圖19係顯示CFx 膜之脫離氣體量之回火之溫度依存性,(a)為CF系氣體之脫體量,(b)為H2 O氣體之脫離氣體量。
圖20係顯示CFx 膜之放置時間之不同之下,各種游離氣體之譜帶,(a)為於400℃回火後,放置大氣12小時後測定,(b)為不回火而放置大氣48小時後測定結果。
圖21係顯示Si系脫離氣體量之溫度依存性,(a)為CFx 脫離氣體、(b)為脫水分之溫度依存性。
圖22係顯示表面氮化效果,回火後,Ar/N2 電漿作用5秒鐘時,(a)為CFx 脫離氣體效果,(b)為脫水分效果。
圖23係顯示與圖17同樣地形成CFx 膜的試樣回火後,照射Ar/N2 電漿之試樣之X光光電子分光分析裝置(ESCA;Electron Spectroscopy for Chemical Analysis)測定結果。
圖24係顯示CFx 膜脫離氣體量之成膜條件依存性,(a)為CF系脫離氣體、(b)為H2 O系脫離氣體。
圖25係顯示CFx 膜成膜400nm並回火後之Ar/N2 電漿處理之脫離氣體結果,(a)表示於通常條件未氮化,(b)為有氮化者。可得知由於Ar/N2 之氮化處理,脫離氣體少。
圖26係顯示在形成Si基底上形成之CFx 膜上原位(In-Situ)形成SiCN膜(SiCN/CFx)之游離氣體TDS譜帶。
圖27係顯示將於200℃成膜之CFx 膜在350℃回火時,CF系之脫離氣體量的溫度依存性。
圖28係顯示於200℃成膜後,於350℃回火後,進一步於200℃以Ar/N2 電漿對表面進行氮化處理之情形,脫離氣體量之溫度依存性。
圖29係顯示於350℃在Si基板上形成CFx 膜,並且不回火之情形之脫離氣體量。
圖30係顯示於350℃在Si基板上形成CFx 膜,並於350℃回火後,於200℃以Ar/N2 電漿進行表面氮化處理時之脫離氣體量。
圖31係顯示於400℃在Si基板上形成CFx 膜,並且不回火時之脫離氣體量。
圖32係顯示於400℃在Si基板上形成CFx 膜,並且不回火而於400℃以Ar/N2 電漿進行表面氮化處理時之脫離氣體量。
圖33(a)及(b)係顯示NiF2 膜之形成方法及用以對比之Ni膜之形成方法。
圖34(a)及(b)係顯示Ni膜及NiF2 膜之組成圖。
圖35(a)及(b)係顯示就阻障金屬層而言,形成Ni膜50nm,並於其上形成Cu膜之情形之回火前後的狀況。
圖36(a)及(b)係顯示就阻障(金屬層)而言,形成NiF2 膜50nm時,於回火前後,Cu及Ni等之擴散。
圖37係顯示NiF2 成膜後,於深度方向之元素分析結果。
圖38係顯示於NiF2 層上形成Cu層後之金屬組織構造之剖面SEM照片,右邊照片為將左邊照片部分放大。
圖39(a)及(b)係顯示就阻障層而言,形成NiF2 膜10nm後之樣本之相互擴散評價結果,(a)為相互擴散試驗前(350℃回火前)及(b)相互擴散試驗後(350℃回火後)。
1...阻障覆蓋層或底層
2...第1層間絶緣膜或CFx
3...第1黏著層或底層
4...第2層間絶緣膜
5...第2黏著層
6...硬質遮障層
7...介層洞
8...電極或配線
9...溝
10...配線構造
11...配線

Claims (37)

  1. 一種層間絶緣膜,具有形成於底層上之絶緣膜,其特徵在於:有效介電常數為3以下,該絶緣膜包含:第1氟化碳膜,形成於該底層上;及第2氟化碳膜,形成於該第1氟化碳膜上,具有較該第1氟化碳膜之介電常數為低之介電常數;且該第1氟化碳膜係使用Xe或Kr氣體產生之電漿而以CVD方式形成者,該第2氟化碳膜係使用Ar氣體產生之電漿而以CVD方式形成者。
  2. 如申請專利範圍第1項之層間絶緣膜,其中,該第1氟化碳膜之厚度為5~10nm,該第2氟化碳膜之厚度為280~500nm。
  3. 如申請專利範圍第1項或第2項之層間絶緣膜,其中,該第2氟化碳膜之介電常數為1.5~2.5。
  4. 申請專利範圍第1或2項之層間絶緣膜,其中,該底層由形成在基體上之SiCN層、Si3 CN4 層、SiCO層及SiO2 層中至少其一所構成。
  5. 如申請專利範圍第1項之層間絶緣膜,其中,該第1氟化碳膜係設置以防止因為與該底層之反應而產生氟矽烷氣體。
  6. 如申請專利範圍第1項之層間絶緣膜,其中,該第1及第2氟化碳膜為低介電常數膜。
  7. 如申請專利範圍第1或2或5或6項中任一項之層間絶緣膜,其中,更包含由形成於該絶緣膜上之Si3 N4 、SiCN及SiCO中至少其一所構成之膜。
  8. 如申請專利範圍第1或2或5或6項中任一項之層間絶緣膜,其中,該第2氟化碳膜之表面被氮化。
  9. 如申請專利範圍第8項之層間絶緣膜,其中,該表面被氮化部分之厚度為1~5nm。
  10. 一種成膜方法,係使用氟化碳氣體及稀有氣體在底層上形成氟化碳膜,其特徵在於具有:第1步驟,利用以Xe氣體或Kr 氣體產生之電漿,在該底層上形成第1氟化碳膜;及第2步驟,利用以Ar氣體產生之電漿在該第1氟化碳膜上形成第2氟化碳膜。
  11. 如申請專利範圍第10項之成膜方法,其中,該第1氟化碳膜成膜5~10nm,並於其上成膜該第2氟化碳膜280~500nm。
  12. 如申請專利範圍第10項或第11項之成膜方法,其中,該底層為含有形成在基體上之SiCN層、Si3 CN4 層、SiO2 層及SiCO層中至少其一之層。
  13. 如申請專利範圍第10或11項之成膜方法,其中,於該稀有氣體加入氮化性氣體及氧化性氣體,並流通SiH4 氣體以作為反應性氣體,而形成Si3 N4 、SiCN及SiCO中之至少一種膜。
  14. 如申請專利範圍第10或11項之成膜方法,其中,該第2氟化碳膜經回火處理後,將表面氮化。
  15. 一種多層配線構造之製造方法,其特徵在於,就層間絕緣膜而言,具有:藉由使用Xe或Kr氣體產生之電漿而於該底層上形成第1氟化碳膜之第1步驟;藉由使用Ar氣體產生之電漿而於該第1氟化碳膜上形成第2氟化碳膜之第2步驟;將該第1與第2氟化碳膜進行回火處理之步驟;及將該第2氟化碳膜之表面氮化之步驟。
  16. 如申請專利範圍第15項之多層配線構造之製造方法,其中,該回火步驟不使該多層配線構造暴露於大氣而在惰性氣體中進行。
  17. 如申請專利範圍第15項或第16項之多層配線構造之製造方法,其中,該氮化步驟係在以Ar氣體產生之電漿中使用N2 氣體進行。
  18. 如申請專利範圍第15項或第16項之多層配線構造之製造方法,其中,該氮化步驟係在以N2 氣體產生之電漿中進行。
  19. 如申請專利範圍第18項之多層配線構造之製造方法,其中,該氮化步驟係在200℃以上之溫度進行。
  20. 如申請專利範圍第15項或16項之多層配線構造之製造方 法,其中,於該回火步驟之前或後,具有以稀有氣體電漿照射該氟化碳膜表面之步驟。
  21. 一種配線構造,其特徵在於具有:層間絶緣膜,具有形成在底層上之絶緣膜;接觸孔,形成於該層間絶緣膜;及導電性配線材料,充填於該接觸孔內,其中,該層間絶緣膜之有效介電常數為3以下,且包含:第1氟化碳膜,藉由使用Xe或Kr氣體產生之電漿而形成於該底層上;及第2氟化碳膜,藉由使用Ar氣體產生之電漿而形成於該第1氟化碳膜上,具有較該第1氟化碳膜之介電常數為低之介電常數。
  22. 如申請專利範圍第21項之配線構造,其中,該導電性配線材料含有銅,且在該配線材料與該層間絶緣膜之間隔著至少含有由鎳之氟化物所構成層之阻障層。
  23. 如申請專利範圍第21或22項之配線構造,其中,該第1氟化碳膜之厚度為5~10nm,該第2氟化碳膜之厚度為280~500nm。
  24. 如申請專利範圍第22項之配線構造,其中,該第2氟化碳膜之介電常數為1.5~2.5。
  25. 如申請專利範圍第21或22或24項中任一項之配線構造,其中,該底層含有形成在基體上之SiCN層、Si3 CN4 層、及SiO2 層中至少其一。
  26. 如申請專利範圍第21或22項之配線構造,其中,該第1氟化碳膜係設置以防止因為與該底層之反應而產生氟化矽氣體。
  27. 如申請專利範圍第21或22或24項中任一項之配線構造,更包含具有形成在該氟化碳膜上之Si3 N4 、SiCN及SiCO中至少其一的膜。
  28. 如申請專利範圍第21或22項之配線構造,其中,該第2氟化碳膜之表面部分具有經過氮化處理之膜。
  29. 一種配線構造之製造方法,其特徵在於具有:第一步驟,使用氟化碳氣體及Xe氣體或Kr氣體而在底層上形成第1氟化碳膜; 及第2步驟,藉由使用Ar氣體產生之電漿在該第1氟化碳膜上形成具有較該第1氟化碳膜之介電常數為低之介電常數的第2氟化碳膜。
  30. 如申請專利範圍第29項之配線構造之製造方法,其中,該第1氟化碳膜形成為5~10nm之厚度,該第2氟化碳膜形成為280~500nm之厚度。
  31. 如申請專利範圍第29項或第30項之配線構造之製造方法,其中,該第2氟化碳膜之介電常數調整成為1.5~2.5。
  32. 如申請專利範圍第29或30項之配線構造之製造方法,其中,該底層包含形成在基體上之SiCN層、Si3 N4 層、SiCO層、及SiO2 層中至少其一。
  33. 如申請專利範圍第29或30項之配線構造之製造方法,其中,該第1及第2氟化碳膜為低介電常數膜。
  34. 如申請專利範圍第29或30項之配線構造之製造方法,其中,於該稀有氣體加入氮化性氣體及氧化性氣體中的至少一種,並流通SiH4 氣體以作為反應性氣體,而形成Si3 N4 或SiCN及SiCO中至少其一的膜。
  35. 如申請專利範圍第29或30項之配線構造之製造方法,其中,對該第2氟化碳膜之表面進行氮化處理。
  36. 如申請專利範圍第29或30項之配線構造之製造方法,其中,更包含:在該氟化碳膜形成接觸孔之步驟,及於該接觸孔充填金屬之步驟。
  37. 如申請專利範圍第29或30項之配線構造之製造方法,其中,具有:於該接觸孔至少充填銅之步驟;及在該接觸孔側面至少形成鎳的氟化物層,以作為用來防止該銅擴散之阻障層的步驟。
TW095122034A 2005-06-20 2006-06-20 層間絕緣膜及配線構造與此等之製造方法 TWI402964B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005179591 2005-06-20

Publications (2)

Publication Number Publication Date
TW200721443A TW200721443A (en) 2007-06-01
TWI402964B true TWI402964B (zh) 2013-07-21

Family

ID=37570413

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100117287A TWI450379B (zh) 2005-06-20 2006-06-20 層間絕緣膜及配線構造與此等之製造方法
TW095122034A TWI402964B (zh) 2005-06-20 2006-06-20 層間絕緣膜及配線構造與此等之製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW100117287A TWI450379B (zh) 2005-06-20 2006-06-20 層間絕緣膜及配線構造與此等之製造方法

Country Status (7)

Country Link
US (2) US8193642B2 (zh)
EP (1) EP1898455B1 (zh)
JP (2) JP4993607B2 (zh)
KR (2) KR101185757B1 (zh)
CN (2) CN102148217A (zh)
TW (2) TWI450379B (zh)
WO (1) WO2006137384A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5120913B2 (ja) * 2006-08-28 2013-01-16 国立大学法人東北大学 半導体装置および多層配線基板
US8197913B2 (en) * 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor
EP2184771A4 (en) * 2007-08-16 2010-10-20 Univ Tohoku Nat Univ Corp INTERCOULE ISOLATION FILM AND WIRING STRUCTURE, AND METHOD FOR MANUFACTURING THE SAME
WO2009040670A2 (en) * 2007-09-26 2009-04-02 Tokyo Electron Limited Semiconductor device and manufacturing method therefor
JP4893588B2 (ja) * 2007-11-05 2012-03-07 富士通株式会社 半導体装置の層間絶縁膜構造
JP4743229B2 (ja) * 2008-05-29 2011-08-10 国立大学法人東北大学 中性粒子を用いた半導体装置の成膜方法
US8334204B2 (en) * 2008-07-24 2012-12-18 Tokyo Electron Limited Semiconductor device and manufacturing method therefor
US7902641B2 (en) * 2008-07-24 2011-03-08 Tokyo Electron Limited Semiconductor device and manufacturing method therefor
TW201044462A (en) * 2009-01-22 2010-12-16 Tokyo Electron Ltd A method for manufacturing semiconductor devices
US20110081500A1 (en) * 2009-10-06 2011-04-07 Tokyo Electron Limited Method of providing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
KR101930230B1 (ko) 2009-11-06 2018-12-18 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치를 제작하기 위한 방법
JP5644096B2 (ja) * 2009-11-30 2014-12-24 ソニー株式会社 接合基板の製造方法及び固体撮像装置の製造方法
JP5700513B2 (ja) * 2010-10-08 2015-04-15 国立大学法人東北大学 半導体装置の製造方法および半導体装置
TWI469199B (zh) * 2011-03-18 2015-01-11 Tokyo Electron Ltd 氟碳化物膜中之懸空鍵的控制方法
JP5935227B2 (ja) * 2012-02-22 2016-06-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置
JP6063181B2 (ja) * 2012-08-29 2017-01-18 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
TWI552290B (zh) * 2014-04-22 2016-10-01 矽品精密工業股份有限公司 封裝基板及其製法
JP6391171B2 (ja) * 2015-09-07 2018-09-19 東芝メモリ株式会社 半導体製造システムおよびその運転方法
CN107492517B (zh) * 2016-06-12 2020-05-08 中芯国际集成电路制造(上海)有限公司 互连结构及形成方法
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218299B1 (en) * 1996-11-14 2001-04-17 Tokyo Electron Limited Semiconductor device and method for producing the same
EP1146555A1 (en) * 1999-03-09 2001-10-17 Tokyo Electron Limited Semiconductor device and production method therefor
TW497236B (en) * 2001-08-27 2002-08-01 Chipmos Technologies Inc A soc packaging process
US20020164883A1 (en) * 1997-01-29 2002-11-07 Tadahiro Ohmi Plasma device
US20020168483A1 (en) * 1997-11-20 2002-11-14 Risa Nakase Method for forming film by plasma
US6528865B1 (en) * 1999-01-22 2003-03-04 Intel Corporation Thin amorphous fluorocarbon films

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
US5559367A (en) * 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
JPH10199976A (ja) * 1997-01-10 1998-07-31 Sony Corp 多層配線の製造方法
US5875138A (en) * 1997-06-30 1999-02-23 Siemens Aktiengesellschaft Dynamic access memory equalizer circuits and methods therefor
US6437441B1 (en) * 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
JPH1187510A (ja) * 1997-07-10 1999-03-30 Kawasaki Steel Corp 配線構造およびこの配線構造の形成方法ならびにこの配線構造を適用する半導体集積回路
JP3574734B2 (ja) * 1997-11-27 2004-10-06 東京エレクトロン株式会社 半導体デバイスの製造方法
EP0933814A1 (en) * 1998-01-28 1999-08-04 Interuniversitair Micro-Elektronica Centrum Vzw A metallization structure on a fluorine-containing dielectric and a method for fabrication thereof
US6265779B1 (en) * 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
JP2000133710A (ja) * 1998-10-26 2000-05-12 Tokyo Electron Ltd 半導体装置及びその製造方法
TW473812B (en) * 1999-06-01 2002-01-21 Tokyo Electron Ltd Method of manufacturing semiconductor device and manufacturing apparatus
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
JP2001284449A (ja) * 2000-03-31 2001-10-12 Sony Corp 半導体装置の製造方法
JP4839506B2 (ja) 2000-04-28 2011-12-21 ダイキン工業株式会社 ドライエッチング方法
JP2002220668A (ja) 2000-11-08 2002-08-09 Daikin Ind Ltd 成膜ガスおよびプラズマ成膜方法
JP4713752B2 (ja) 2000-12-28 2011-06-29 財団法人国際科学振興財団 半導体装置およびその製造方法
TW523894B (en) * 2001-12-24 2003-03-11 Siliconware Precision Industries Co Ltd Semiconductor device and its manufacturing method
TWI235455B (en) * 2003-05-21 2005-07-01 Semiconductor Leading Edge Tec Method for manufacturing semiconductor device
JP5009527B2 (ja) * 2003-08-15 2012-08-22 東京エレクトロン株式会社 半導体装置、半導体装置の製造方法及びプラズマcvd用ガス
JP2005116801A (ja) * 2003-10-08 2005-04-28 Toshiba Corp 半導体装置の製造方法
JP2005142473A (ja) * 2003-11-10 2005-06-02 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
JP4256763B2 (ja) 2003-11-19 2009-04-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR100743745B1 (ko) * 2004-01-13 2007-07-27 동경 엘렉트론 주식회사 반도체장치의 제조방법 및 성막시스템
JP4194508B2 (ja) * 2004-02-26 2008-12-10 三洋電機株式会社 半導体装置の製造方法
JP4194521B2 (ja) * 2004-04-07 2008-12-10 東京エレクトロン株式会社 半導体装置の製造方法
JP4555143B2 (ja) * 2004-05-11 2010-09-29 東京エレクトロン株式会社 基板の処理方法
KR100568257B1 (ko) * 2004-07-29 2006-04-07 삼성전자주식회사 듀얼 다마신 배선의 제조방법
JP4316469B2 (ja) * 2004-10-15 2009-08-19 株式会社東芝 自動設計装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218299B1 (en) * 1996-11-14 2001-04-17 Tokyo Electron Limited Semiconductor device and method for producing the same
US20020164883A1 (en) * 1997-01-29 2002-11-07 Tadahiro Ohmi Plasma device
US20020168483A1 (en) * 1997-11-20 2002-11-14 Risa Nakase Method for forming film by plasma
US6528865B1 (en) * 1999-01-22 2003-03-04 Intel Corporation Thin amorphous fluorocarbon films
EP1146555A1 (en) * 1999-03-09 2001-10-17 Tokyo Electron Limited Semiconductor device and production method therefor
TW497236B (en) * 2001-08-27 2002-08-01 Chipmos Technologies Inc A soc packaging process

Also Published As

Publication number Publication date
EP1898455B1 (en) 2013-05-15
US20090108413A1 (en) 2009-04-30
WO2006137384A1 (ja) 2006-12-28
US20110140276A1 (en) 2011-06-16
TW201140795A (en) 2011-11-16
EP1898455A4 (en) 2009-11-11
KR20080021632A (ko) 2008-03-07
JP4993607B2 (ja) 2012-08-08
JP5392628B2 (ja) 2014-01-22
KR101185757B1 (ko) 2012-09-25
TWI450379B (zh) 2014-08-21
CN101238555B (zh) 2011-12-07
TW200721443A (en) 2007-06-01
KR20110014665A (ko) 2011-02-11
EP1898455A1 (en) 2008-03-12
KR101256035B1 (ko) 2013-04-18
US8193642B2 (en) 2012-06-05
CN102148217A (zh) 2011-08-10
JPWO2006137384A1 (ja) 2009-01-22
CN101238555A (zh) 2008-08-06
JP2011014933A (ja) 2011-01-20

Similar Documents

Publication Publication Date Title
TWI402964B (zh) 層間絕緣膜及配線構造與此等之製造方法
JP3090476B2 (ja) プラズマ化学蒸着を用いてフッ化シリコン酸化物層を形成する方法
JP4235066B2 (ja) 薄膜形成方法
US20070020952A1 (en) Repairing method for low-k dielectric materials
JP2005117052A (ja) シリコンカーバイド膜を製造する方法
JP3178375B2 (ja) 絶縁膜の形成方法
JP4716370B2 (ja) 低誘電率膜のダメージ修復方法及び半導体製造装置
EP1039522A1 (en) Process for producing insulating film
JP5238615B2 (ja) 半導体装置の製造方法
JP5119606B2 (ja) 半導体装置及び半導体装置の製造方法
US20070259131A1 (en) Plasma-Assisted Deposition Method and System for Carrying Out the Same
TW200425251A (en) Method for producing semiconductor device and method for cleaning plasma etching device
JP4034197B2 (ja) 半導体装置の製造方法
US7338903B2 (en) Sequential reducing plasma and inert plasma pre-treatment method for oxidizable conductor layer
US20060151002A1 (en) Method of CVD chamber cleaning
Aoki et al. Influence of oxygen plasma treatment on boron carbon nitride film composition
US20060017166A1 (en) Robust fluorine containing Silica Glass (FSG) Film with less free fluorine
JP4986661B2 (ja) 絶縁膜の形成方法およびこれを用いた半導体装置
TW202333223A (zh) 用於氧化鎢移除之氟化鎢浸泡及處理
Kim et al. Investigation of Structure Modification of Underlying SiCOH Low-k Dielectrics with Subsequent Hardmask Deposition Process Conditions
JP4986660B2 (ja) 絶縁膜の形成方法およびこれを用いた半導体装置
JP2006059848A (ja) レジスト除去方法及び半導体装置の製造方法
JP2008227308A (ja) 絶縁膜の形成方法およびこれを用いた半導体装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees