JP5935227B2 - 半導体装置の製造方法及び半導体装置 - Google Patents

半導体装置の製造方法及び半導体装置 Download PDF

Info

Publication number
JP5935227B2
JP5935227B2 JP2014500927A JP2014500927A JP5935227B2 JP 5935227 B2 JP5935227 B2 JP 5935227B2 JP 2014500927 A JP2014500927 A JP 2014500927A JP 2014500927 A JP2014500927 A JP 2014500927A JP 5935227 B2 JP5935227 B2 JP 5935227B2
Authority
JP
Japan
Prior art keywords
film
semiconductor device
insulating film
plasma
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2014500927A
Other languages
English (en)
Other versions
JPWO2013125647A1 (ja
Inventor
剛直 根本
剛直 根本
武尚 齊藤
武尚 齊藤
祐吾 富田
祐吾 富田
裕一 松本
裕一 松本
彰秀 白鳥
彰秀 白鳥
寺本 章伸
章伸 寺本
▲クン▼ 谷
▲クン▼ 谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tohoku University NUC
Tokyo Electron Ltd
Original Assignee
Tohoku University NUC
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tohoku University NUC, Tokyo Electron Ltd filed Critical Tohoku University NUC
Publication of JPWO2013125647A1 publication Critical patent/JPWO2013125647A1/ja
Application granted granted Critical
Publication of JP5935227B2 publication Critical patent/JP5935227B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、半導体装置の製造方法及び半導体装置に関する。
従来から、ダマシンプロセス技術により形成される配線構造が知られている。例えば、従来の多層配線構造では、層間絶縁膜と配線材料であるCu(銅)との間に、絶縁膜へのCuの拡散を防止するためのバリア層(バリアメタル)が形成されている。このような配線構造では、絶縁膜とCuとの間の間に所定の厚みを有するバリア層が設けられるため、どうしても抵抗(接触抵抗)が高くなり配線遅延が生じ得る。このような問題に関して、下記非特許文献1及び2には、絶縁膜とCuとの間にバリア層を設けない配線構造が開示されている。
M.Tada,Het al.、「Barrier-metal-free(BMF),CuDual-damascene Interconnects with Cu-epi-contacts buried inAnti-diffusive,Low-k Organic film」、2001 VLSI Technology,2001. Digest of Technical Papers. 2001 Symposium on、IEEE、12-14 June 2001、pp.13-14 Marianna Pantouvaki et al.、「Advanced OrganicPolymer for the Aggressive Scaling of Low-k Materials」、JapaneseJournal of Applied Physics、2011、Volume 50、Issue 4、pp.04DB01-04DB01-5
近年では、半導体装置の微細化、高速化が要求されており、これを実現するために絶縁膜の低誘電率化(low−k)が求められている。これについて、上記非特許文献1に記載の構成では、絶縁膜としてDivinyl-siloxane-bis-benzocyclobutene(ジビニルシロキサン・ビス・ベンゾシクロブテン:k=2.6)の有機膜を採用しており、配線遅延の観点からは更に低誘電率のものが望まれる。また、この絶縁膜では、その特性上、250℃以上の高温でアニール処理を実施した際にCuが絶縁膜から剥離する懼れがある。
また、上記非特許文献2に記載の構成では、どうしてもCuが絶縁膜に拡散するため、TDDB(Time-dependent dielectric breakdown)の発生が避けられない。したがって、非特許文献2に記載の構成では、信頼性の高い半導体装置を得ることが困難であった。
本発明は、上記課題を解決するためになされたものであり、絶縁膜と配線部材との密着性及び信頼性の向上が図れる半導体装置の製造方法及び半導体装置を提供することを目的とする。
本発明の一側面に係る半導体装置の製造方法は、絶縁膜にダマシン法にて配線を形成する半導体装置の製造方法であって、絶縁膜としてフッ素添加カーボン膜を成膜する工程と、絶縁膜に配線に対応する溝部を形成する工程と、溝部に配線部材である銅を充填する工程と、を含む。
この半導体装置の製造方法では、フッ素添加カーボンからなる絶縁膜に溝部を形成し、その溝部に配線部材である銅(以下、Cu)を充填して埋め込んでいる。このように、半導体装置の製造方法では、絶縁膜としてフッ素添加カーボンを用いることにより、絶縁膜とCuとの間にバリアメタル層を設けずにCuを直接埋め込む構成であっても、Cuが絶縁膜に拡散することを抑制できる。これにより半導体装置の製造方法では、TDDBの発生を抑制でき、半導体装置の信頼性の向上を図れる。また、半導体装置の製造方法では、フッ素添加カーボンとCuとが結合するため、高温でアニール処理を実施した際にも絶縁膜からCuが剥離することを抑制できる。したがって、半導体装置の製造方法では、絶縁膜とCuとの密着性の向上が図れる。
一実施形態においては、溝部が形成された絶縁膜をプラズマにて処理し、絶縁膜の表面側を改質する工程を更に含む。このように、半導体装置の製造方法では、絶縁膜の表面にプラズマ処理を実施して表面側を改質することにより、フッ素添加カーボン膜である絶縁膜とCuとの密着性を高めることができる。
一実施形態においては、絶縁膜の表面側の改質では、当該表面側のフッ素の含有率を変化させる。半導体装置の製造方法では、例えば、改質によって絶縁膜の表面側のフッ素の含有率を減らすことにより、炭素リッチな層(フッ素よりも炭素の含有割合が高い層)が絶縁膜の表面側に形成される。これにより、半導体装置の製造方法では、フッ素添加カーボンとCuとの結合をより高めることができる。その結果、半導体装置の製造方法では、フッ素添加カーボン膜である絶縁膜とCuとの密着性をより一層高めることができる。
一実施形態においては、絶縁膜の表面側の改質は、窒素を活性種として含むプラズマ処理により行う。このように、半導体装置の製造方法では、窒化プラズマ処理によって絶縁膜を処理することにより、絶縁膜の表面の改質を良好に行うことができる。
一実施形態においては、プラズマ処理の処理時間は4秒〜60秒である。
一実施形態においては、溝部の内面に酸化膜を形成する工程を更に含み、酸化膜が形成された溝部に銅を充填してもよい。これにより、半導体装置の製造方法では、配線抵抗を低減できる半導体装置を得ることができる。
一実施形態においては、フッ素添加カーボン膜を成膜する工程では、成膜装置として、処理空間を形成する処理容器と、マイクロ波発生器と、マイクロ波発生器によって発生されるマイクロ波を放射するアンテナと、処理空間とアンテナとの間に設けられた誘電体窓と、プラズマ励起用のガスを供給するガス供給部と、フッ素添加カーボン膜を形成するための材料ガスを供給する材料ガス供給部と、を備える成膜装置を用い、ガス供給部からプラズマ励起用のガスを供給させ、アンテナからマイクロ波を放射させてプラズマを励起させ、材料ガス供給部から材料ガスを供給させて、材料ガスをプラズマにより反応させてフッ素添加カーボン膜を形成する。半導体装置の製造方法では、このようにフッ素添加カーボン膜を成膜することにより、緻密でCuとの密着性が高く、熱安定性が高いフッ素添加カーボン膜を得ることができる。
本発明の一側面に係る半導体装置は、絶縁膜にダマシン法にて配線が形成された半導体装置であって、フッ素添加カーボン膜である絶縁膜と、絶縁膜に設けられ、配線に対応する溝部に埋め込まれた銅からなる配線部材と、を備える。
この半導体装置では、フッ素添加カーボンからなる絶縁膜の溝部に、配線部材である銅(以下、Cu)が埋め込まれている。このように、半導体装置では、絶縁膜としてフッ素添加カーボンを用いることにより、絶縁膜とCuとの間にバリアメタル層を設けずにCuを直接埋め込む構成であっても、フッ素添加カーボン膜自体がCuバリア機能に優れているので、Cuが絶縁膜に拡散することを抑制できる。これにより、半導体装置では、TDDBの発生を抑制でき、半導体装置の信頼性の向上を図れる。また、半導体装置では、フッ素添加カーボンとCuとが結合するため、高温でアニール処理を実施した際にも絶縁膜からCuが剥離することを抑制できる。したがって、半導体装置では、絶縁膜とCuとの密着性の向上が図れる。
一実施形態においては、銅と接する絶縁膜の表面(Cuが形成される面)側は、プラズマ処理によって改質されている。このような構成により、半導体装置では、銅と絶縁膜との密着性をより一層高く確保できる。
一実施形態においては、絶縁膜の表面側は、フッ素の含有率が変化するように改質されている。このような構成により、半導体装置では、例えば、絶縁膜の表面側のフッ素の含有率を減少するように改質されていることにより、フッ素添加カーボン膜(Cu形成される面側がカーボンリッチ層である膜)である絶縁膜とCuとの密着性をより一層高めることができる。
一実施形態においては、絶縁膜の表面側の改質は窒素を活性種として含むプラズマ処理により行われている。
本発明の一側面によれば、絶縁膜と配線部材との密着性及び信頼性の向上が図れる。
図1は、一実施形態に係る半導体装置の製造に用いられるプラズマ処理装置を示す図である。 図2は、図1に示す平面アンテナの一例を示す平面図である。 図3は、半導体装置の断面構成を示す図である。 図4は、半導体装置の製造工程を示すフローチャートである。 鵜5は、半導体装置の製造工程を示す図である。 図6は、SIMSの分析結果を示すグラフである。 図7は、抵抗の特性を示すグラフである。 図8は、静電容量の特性を示すグラフである。 図9は、他の実施形態に係る半導体装置の断面構成を示す図である。 図10は、図9に示すCF膜とCuとの間に酸化膜を設けた半導体装置の抵抗の特性を示すグラフである。 図11は、他の形態に係る半導体装置のSIMSの分析結果を示すグラフである。
以下、図面を参照して種々の実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を付すこととする。
最初に、一実施形態の半導体装置の製造方法の一工程に用いられるプラズマ処理装置について説明する。図1は、一実施形態に係るプラズマ処理装置を概略的に示す断面図である。
図1に示すプラズマ処理装置10は、処理容器12、ステージ14、誘電体窓部材16、アンテナ18、同軸導波管20、インジェクタ22、及び、配管部材24を備えている。
処理容器12は、被処理基体Wにプラズマ処理を行うための処理空間Sを形成している。処理容器12は、側壁12a、及び、底部12bを含み得る。側壁12aは、軸線X方向に延在する略筒形状を有している。底部12bは、側壁12aの下端側に設けられている。底部12bには、排気用の排気孔12hが設けられている。側壁12aの上端部は開口している。
側壁12aの上端部開口は、誘電体窓とも称される誘電体窓部材16を支持する支持部28aによって開閉可能に気密に閉じられている。この誘電体窓部材16と側壁12aの上端部との間にはOリング28が介在し、処理容器12の密閉が保持される。
プラズマ処理装置10は、更にマイクロ波発生器30を備え得る。マイクロ波発生器30は、例えば2.45GHzの周波数のマイクロ波を発生する。マイクロ波発生器30は、チューナー30aを有している。マイクロ波発生器30は、導波管32及びモード変換器34を介して、同軸導波管20の上部に接続されている。
同軸導波管20の他端は、軸線Xに沿って誘電体窓部材16の下方へ延びて処理容器12の上部に接続されている。同軸導波管20は、外側導体20a及び内側導体20bを含んでいる。外側導体20aは、軸線X方向に延びる筒形状を有している。誘電体窓部材16の上部には、平面アンテナ18bが配置されている。平面アンテナ18bを覆うように遅波板18aが配置され、遅波板18aを覆うように冷却ジャケット36が配置されている。内側導体20bの下端は、金属製のスロット板である平面アンテナ18bに接続されている。誘電体窓部材16、平面アンテナ18b、遅波板18a及び冷却ジャケット36は、外周部が押えリング部材29で支持されている。平面アンテナ18bは、押えリング部材29及び支持部28aを介して接地される。
遅波板18aは、略円板形状を有している。遅波板18aは、例えば、石英又はアルミナ等の誘電体から構成され得る。遅波板18aは、平面アンテナ18bと冷却ジャケット36の下面の間に狭持されている。アンテナ18は、したがって、遅波板18a、平面アンテナ18b、及び、冷却ジャケット36の下面によって構成され得る。冷却ジャケット36は、平面アンテナ18b、遅波板18a、及び押えリング部材29を冷却し、プラズマの熱による変形破損を防止する。
平面アンテナ18bは、複数のスロットが形成された略円板状の金属板である。一実施形態においては、平面アンテナ18bは、ラジアルラインスロットアンテナであってもよい。図2は、図1に示す平面アンテナの一例を示す平面図である。平面アンテナ18bには、複数のスロット対18Aが形成されている。複数のスロット対18Aは、径方向に所定の間隔で設けられており、また、周方向に所定の間隔で配置されている。複数のスロット対18Aの各々は、二つのスロット孔18Aa及び18Abを含んでいる。スロット孔18Aaとスロット孔18Abは、互いに交差又は直交する方向に延びている。マイクロ波発生器30により発生されたマイクロ波は、同軸導波管20を通って、平面アンテナ18bに伝播され、遅波板18aを介して放射状に伝播され、スロット18Aから誘電体窓部材16を介して処理容器12内に導入される。
誘電体窓部材16は、略円板形状を有しており、例えば、石英又はアルミナから構成されている。誘電体窓部材16は、ステージ14と軸線X方向において対面するように設けられており、また、平面アンテナ18bの直下に設けられている。誘電体窓部材16は、アンテナ18から受けたマイクロ波を透過して処理空間S内に導入する。これにより、誘電体窓部材16の直下に電界が発生し、処理空間S内にプラズマが発生する。このように、プラズマ処理装置10によれば、磁場を加えずにマイクロ波を用いてプラズマを発生させることが可能である。
誘電体窓部材16の下面は、凹部16dを画成し得る。凹部16dは、軸線X周りに環状に設けられており、テーパ形状を有している。この凹部16dは、導入されたマイクロ波による定在波の発生を促進するために設けられており、マイクロ波によるプラズマを効率的に生成することに寄与し得る。
プラズマ処理装置10では、内側導体20bは、軸線Xに沿って延びる筒形状を有し得る。この内側導体20bの内部には、配管部材24が挿入され得る。配管部材24の一端には、ガス供給系40が接続されている。ガス供給系40は、マスフローコントローラといった流量制御器40a及び開閉弁40bから構成され得る。一実施形態においては、ガス供給系40からの処理ガスが配管部材24を介してインジェクタ22に供給される。配管部材24からの処理ガスは、インジェクタ22、及び、誘電体窓部材16に形成された貫通孔16aを介して、処理空間Sに供給される。
また、プラズマ処理装置10は、別のガス供給部42を更に備え得る。ガス供給部42は、ガス管42aを含む。ガス管42aは、誘電体窓部材16とステージ14との間において軸線X周りに、環状に延在している。ガス管42aには、軸線Xに向かう方向にガスを噴射する複数のガス噴射孔42bが設けられている。このガス供給部42は、ガス供給系44に接続されている。
ガス供給系44は、ガス管44a、開閉弁44b、及び、マスフローコントローラといった流量制御器44cを含んでいる。ガス供給部42のガス管42aには、流量制御器44c、開閉弁44b、及びガス管44aを介して、処理ガスが供給される。なお、ガス管44aは、処理容器12の側壁12aを貫通している。ガス供給部42のガス管42aは、当該ガス管44aを介して、側壁12aに支持され得る。
ステージ14は、アンテナ18と当該ステージ14との間に処理空間Sを挟むように設けられている。このステージ14上には、被処理基体Wが載置される。一実施形態においては、ステージ14は、台14a、フォーカスリング14b、及び、静電チャック14cを含み得る。
台14aは、筒状支持部46に支持されている。筒状支持部46は、絶縁性の材料で構成されており、底部12bから垂直上方に延びている。また、筒状支持部46の外周には、導電性の筒状支持部48が設けられている。筒状支持部48は、筒状支持部46の外周に沿って処理容器12の底部12bから垂直上方に延びている。この筒状支持部46と側壁12aとの間には、環状の排気路50が形成されている。
排気路50の上部には、複数の貫通孔が設けられた環状のバッフル板52が取り付けられている。成膜装置の場合、バッフル板を設けなくてもよい。排気孔12hの下部には排気管54を介して排気装置56が接続されている。排気装置56は、ターボ分子ポンプなどの真空ポンプを有している。排気装置56により、処理容器12内の処理空間Sを所望の真空度まで均一に減圧することができる。
台14aは、高周波電極を兼ねている。台14aには、マッチングユニット60及び給電棒62を介して、RFバイアス用の高周波電源58が電気的に接続されている。高周波電源58は、被処理基体Wに引き込むイオンのエネルギーを制御するのに適した一定の周波数、例えば、13.65MHzの高周波電力を所定のパワーで出力する。好ましくは400kHzから60MHzである。マッチングユニット60は、高周波電源58側のインピーダンスと、主に電極、プラズマ、処理容器12といった負荷側のインピーダンスとの間で整合をとるための整合器を収容している。この整合器の中に自己バイアス生成用のブロッキングコンデンサが含まれている。
台14aの上面には、静電チャック14cが設けられている。静電チャック14cは、被処理基体Wを静電吸着力で保持する。静電チャック14cの径方向外側には、被処理基体Wの周囲を環状に囲むフォーカスリング14bが設けられている。静電チャック14cは、電極14d、絶縁膜14e、及び、絶縁膜14fを含んでいる。電極14dは、導電膜によって構成されており、絶縁膜14eと絶縁膜14fの間に設けられている。電極14dには、高圧の直流電源64がスイッチ66および被覆線68を介して電気的に接続されている。静電チャック14cは、直流電源64より印加される直流電圧により発生するクーロン力によって、被処理基体Wを吸着保持することができる。
台14aの内部には、周方向に延びる環状の冷媒室14gが設けられている。この冷媒室14gには、チラーユニット(図示せず)より配管70,72を介して所定の温度の冷媒、例えば、冷却水が循環供給される。冷媒の温度によって静電チャック14cの伝熱ガス、例えば、Heガスがガス供給管74を介して静電チャック14cの上面と被処理基体Wの裏面との間に供給される。
次に、上記プラズマ処理装置10を用いて製造される半導体装置について説明する。図3は、一実施形態に係る半導体装置の断面構成を示す図である。図3に示すように、半導体装置100には、ダマシンプロセス技術(ダマシン法)により配線パターンPが形成されている。半導体装置100の配線パターンPは、図示しない半導体基板(被処理基体W)の表面に形成されたSiO膜102上に他の絶縁膜104を介して形成された絶縁膜であるCF膜(フッ素添加カーボン膜:k=2.1)106に直接、配線部材であるCu(銅)114が埋め込まれている。Cu114は、図3において、奥行き方向に沿って延在している。なお、図3においては、Cu114が1本だけ配設されている態様を示しているが、実際の半導体装置100では、Cu114が所定の間隔(例えば、200nm程度)をあけて複数配設されている。
続いて、上記の半導体装置100の製造方法について説明する。図4は、半導体装置の製造工程を示すフローチャートである。図5は、半導体装置の製造工程を示す図である。
図4に示すように、まずSiOの半導体基板102上にSiCN膜104を上記プラズマ処理装置10により形成する(ステップS01、図5(a))。SiCN膜104の膜厚は、例えば50nm程度である。SiCN膜104は、ガス供給部42及びガス供給系40によりトリメチルシランガス及びArガスを処理空間Sに供給し、シリコン、炭素及び水素の活性種を含むプラズマを発生させ、この窒素ガスを供給しない処理を5秒程度実施した後に、窒素ガスを処理空間Sに供給して窒素の活性種を発生させて成膜する。
次に、SiCN膜104上に絶縁膜であるCF膜106を上記プラズマ処理装置10にて形成する(ステップS02、図5(a))。CF膜106は、プラズマ処理装置10の処理空間Sに供給されるCガスを活性化させてプラズマ化して活性種を形成し、半導体基板102の表面に堆積して形成する。プラズマ処理装置10によりCF膜106を形成することにより、上方側のプラズマ処理空間の下方側に活性種が存在する成膜空間が形成され、いわゆるソフトな活性種によりCF膜106が成膜されるため、緻密でCuとの密着性が高く、熱安定性が高いCF膜106を得ることができる。CF膜106の膜厚は、例えば500nm程度である。
続いて、CF膜106上にSiCN膜108を形成する(図5(b))。SiCN膜108は、上述の方法と同様に形成される。SiCN膜108の膜厚は、例えば50nm程度である。なお、SiCN膜108は、SiC膜及びSiCN膜の積層構造であってもよい。
続いて、SiCN膜108上に、SiO膜110を形成する。SiO膜110の膜厚は、例えば50nm程度である。SiO膜110は、モノシランガスと酸素ガスとをプラズマ化し、そのプラズマにより成膜する。そして、SiO膜110上にフォトレジスト112を形成し、例えばKrFエキシマレーザのステッパにより露光してフォトリソグラフィーを実施する(ステップS03)。その後、CF4ガスによりドライエッチングを実施し(ステップS04)、これにより、図5(d)に示すように、CF膜106に配線パターンPに対応した溝部Cを形成する。
続いて、溝部Cが形成されたCF膜106を、本実施形態のプラズマ装置1を用いて、Nガスによりプラズマトリートメント(窒化プラズマ処理)を行う(ステップS05)。このとき、プラズマトリートメントの条件は、マイクロ波のパワー:2500W、高周波電源58の出力RFパワー:10W、処理時間:4秒とすることができる。なお、プラズマトリートメントの処理時間は、4秒〜60秒の範囲で適宜設定されればよい。プラズマトリートメントより、CF膜106の表面側(溝部Cを画成する表面側)に存在するフッ素の含有率が変化、すなわちフッ素が取り除かれることにより減少し、CF膜106の表面側が改質される。すなわち、CF膜106の表面には、炭素リッチな層が形成される。そして、溝部C内のエッチング残渣や酸化膜系のハードマスクの除去するために希フッ酸(DHF)によりクリーニング(洗浄)を実施し(ステップS06)、その後、水分除去するために、例えば処理空間Sの温度を350℃としてアニール処理を2時間実施する(ステップS07)。ステップS07の工程は、別チャンバのアニール装置でアニールしてもよい。
続いて、配線材料(金属)であるCuをスパッタリングにて溝部Cに成膜した後に、更に電解金属めっき法により形成し、CF膜106の溝部CにCu114を充填して埋め込む(ステップS08、図5(e))。なお、Cuの充填には、スパッタリング、電解金属メッキ法、無電解メッキ法及びCVD法のいずれか、若しくは、それらの少なくとも2つ以上の方法を用いることができる。その後、CMP(Chemical Mechanical Polishing)法により、溝部Cに埋め込まれた部分以外のCuを除去して表面を平坦化する(ステップS09、図5(f))。そして、最後に、表面保護膜(図示しない)、例えば酸化膜や窒化膜を形成する。
なお、上記の方法ではCF膜106の表面をプラズマトリートメントにNガスを用いて窒化プラズマ処理を実施しているが、プラズマトリートメントにはSiH(シラン)ガス、水素プラズマを用いてもよい。プラズマトリートメントに用いるガスは、CF膜106の表面側のF(フッ素)を減少させるものであればよい。
また、プラズマを発生させるガスとして上述の例ではArガスを用いているが、その他の希ガス例えばHe(ヘリウム)ガス、Ne(ネオン)ガス、Kr(クリプトン)ガス、Xe(キセノン)ガスなどを用いることができる。CF膜106の原料ガスとしてはCガスに限らず、CFガス、Cガス、Cガス、Cガス及びCガスなどを用いてもよい。また、SiCN膜108を成膜するときに窒素の活性種を得るためのガスとしては、窒素ガスに限らずアンモニアガスであってもよい。
またSiCN膜108を成膜するときに使用されるシリコンの有機化合物としては、トリメチルシランガスに限られず、他の有機化合物であってもよい。その具体例としては、CHSiH、(CH)SiH、(CH)SiH、(CH)Si、(CH3)Si(OC)、(CH)Si(OCH)、CHSi(OC)、CHSi(OCH)、(HCHSiO)[環状構造]、((CH)Si)O、(H(CH)Si)O、(HCHSi)O、((CH)SiO)、(CHASiO)、((CH)SiO)、(CHASiO)などである。なお、最後の3つの化合物は環状構造であり、「A」はビニル基(CH−CH3)である。
以上説明したように、本実施形態では、絶縁膜としてCF膜106を採用し、このCF膜106にドライエッチングにより溝部Cを形成した後、Cu114を溝部Cに埋め込む前に窒化プラズマ処理を施している。このように、CF膜106に窒化プラズマ処理を実施することにより、CF膜106の表面側のフッ素(F)が取り除かれて炭素リッチな層が形成され、CF膜106の表面側が改質される。これにより、CF膜106表面の濡れ性が良くなり、CF膜106とCu114とが接触結合し、CF膜106とCu114との密着性の向上が図れる。
図6は、SIMS(Secondary Ion Mass Spectrometry:二次イオン質量分析法)の分析結果を示すグラフである。図6では、横軸は深さ[nm]を示しており、縦軸は濃度[atoms/cm]、二次イオン強度[counts/sec]を示している。図6に示すSIMSの分析は、Si基板上に酸化膜、CF膜及びCuの順に積層したサンプルに対して実施し、CF膜には窒化プラズマ処理を30秒実施している。図6では、初期状態のCuを線L1、アニール後のCuを線L2、初期状態のF(フッ素)を線L3、アニール後のFを線L4、初期状態の酸化膜(ox)を線L5、アニール後の酸化膜を線L6で示している。
図6に示すように、初期状態のCuとアニール後のCuとは同様の特性を示しており、CF膜(表面がCリッチな膜)へのCuの熱拡散がほとんどないことが確認された。また、FのCuへの拡散も同様にないことが確認された。このように、半導体装置100では、絶縁膜を表面がCリッチなCF膜106とすることにより、CF膜106へのCu114の拡散が抑制され、これによりTDDB(Time-Dependent Dielectric Breakdown)の発生を抑制し得る。その結果、半導体装置100の信頼性の向上が図れる。
図7は、抵抗の特性を示すグラフである。図7では、横軸は抵抗[ohm]を示し、縦軸は確率分布[%]を示している。また、図7では、本実施形態に係る半導体装置100の特性を「■」で示し、バリアメタル層(Ti/TiN/Ti)を設けた従来の半導体装置の特性を「▽」で示している。図8は、静電容量の特性を示すグラフである。図8では、横軸は静電容量(線間容量)[pF]、縦軸は確率分布[%]を示している。また、図8では、本実施形態に係る半導体装置100の特性を「■」で示し、バリアメタル層を設けた従来の半導体装置の特性を「▽」で示している。
図7に示すように、半導体装置100は、バリアメタル層を備える従来の半導体装置に比べて、例えば確立分布が50%で見ると、抵抗が25%程度低下している。また、半導体装置100では、静電容量について、バリアメタル層を備える従来の半導体装置と同様の特性を得ることができる。これにより、半導体装置100では、配線抵抗及び静電容量に起因する配線遅延を改善できる。
本発明は、上記実施形態に限定されるわけではない。例えば、上記実施形態では、半導体基板上に配線パターンPを設ける形態を一例に説明したが、多層配線構造である場合には、例えば層間絶縁膜(CF膜)及び配線(Cu)が形成された下層の配線パターン上に、上層の配線パターンPとして形成してもよい。このとき、配線パターンPのCu114は、ビアホールにより下層の配線(Cu)と電気的に接続される。
また、上記実施形態に加えて、CF膜106とCu114との間に、極薄の酸化膜又はカーボン膜を設けてもよい。図9は、他の実施形態に係る半導体装置の断面構成を示す図である。図9に示すように、半導体装置200は、CF膜106とCu114との間に酸化膜118が設けられている。酸化膜118は、例えばaCSiO膜(アモルファスカーボンシリコン酸化膜)である。酸化膜118の厚みは、Cuのバリア性及び低抵抗の観点から、好ましくは、1nmから15nm以下であり、より好ましくは3〜10nmである。このように、CF膜106とCu114との間に酸化膜118を設けることにより、極薄なので低抵抗が可能であり、配線抵抗も低減でき、且つ、CF膜106へのCu114の拡散をより一層抑制できる。酸化膜118は、例えば、トリメチルシラン(TMS)などの有機シラン系化合物ガスと酸素ガス、NOなどの酸素含有ガスとのプラズマで形成される。また、カーボン膜としては、2ブテンなどの炭化水素化合物ガスのプラズマにより生成される。
図10は、図9に示すCF膜106とCu114との間に酸化膜118を設けた半導体装置における抵抗の特性を示すグラフである。図10では、横軸は抵抗[ohm]を示し、縦軸は確率分布[%]を示している。また、図10では、CF膜106とCu114との間に酸化膜118を設けた半導体装置200の特性を「○」で示し、バリアメタル層を設けた従来の半導体装置の特性を「▽」で示している。図10に示すように、CF膜106とCu114との間に酸化膜118を設けた半導体装置200は、バリアメタル層を備える半導体装置に比べて、例えば確率分布が50%で見ると、抵抗が25%程度低下している。これにより、半導体装置200では、配線遅延を低減できる。
また、図9に示す半導体装置200では、酸化膜108を形成する前に、窒化プラズマ処理を実施してもよいし、窒化プラズマ処理を実施しなくてもよい。
また、上記実施形態(図3に示す半導体装置100)では、CF膜106の溝部CにCu114を埋め込む前に窒化プラズマ処理を実施しているが、窒化プラズマ処理を実施しなくてもよい。このような構成の場合においても、CF膜106自体がバリア機能を有するので、CF膜106へのCu114の拡散を抑制できる。
図11は、他の形態に係る半導体装置のSIMSの分析結果を示すグラフである。図11に示すSIMSの分析は、図6と同様に、Si基板上に酸化膜、CF膜及びCuの順に積層したサンプルに対して実施した。図11では、初期状態のCuを線L1、アニール後のCuを線L2、初期状態のF(フッ素)を線L3、アニール後のFを線L4、初期状態の酸化膜(ox)を線L5、アニール後の酸化膜を線L6で示している。
図11に示すように、CF膜に窒化プラズマ処理を施さない場合、すなわちCF膜に直接Cuを埋め込んだ構成であっても、Cuの熱拡散はほとんど確認されない。これにより、CF膜106に窒化プラズマ処理を実施しない場合であっても、TDDBの発生を抑制でき、信頼性の向上が図れる。
10…プラズマ処理装置、12…処理容器、30…マイクロ波発生器、18…アンテナ、40…ガス供給系(ガス供給部)、42…ガス供給部(材料ガス供給部)、100…半導体装置、104…半導体基板、106…CF膜(絶縁膜)、114…Cu(配線部材)、C…溝部、P…配線パターン(配線)。

Claims (3)

  1. 絶縁膜にダマシン法にて配線を形成する半導体装置の製造方法であって、
    前記絶縁膜としてフッ素添加カーボン膜を成膜する工程と、
    前記絶縁膜に前記配線に対応する溝部を形成する工程と、
    前記溝部が形成された前記絶縁膜をプラズマにて処理し、前記絶縁膜の表面側を改質する工程と、
    配線部材である銅を、前記絶縁膜と当該銅とが接するように前記溝部に充填する工程と、を含み、
    前記銅と接する前記絶縁膜の前記表面側の改質は、窒素を活性種として含むプラズマ処理により行い、
    前記絶縁膜の前記表面側の改質では、当該表面側のフッ素の含有率を減少させる、半導体装置の製造方法。
  2. 前記プラズマ処理の処理時間は4秒〜60秒である、請求項1記載の半導体装置の製造方法。
  3. 前記フッ素添加カーボン膜を成膜する工程では、成膜装置として、
    処理空間を形成する処理容器と、
    マイクロ波発生器と、
    前記マイクロ波発生器によって発生されるマイクロ波を放射するアンテナと、
    前記処理空間と前記アンテナとの間に設けられた誘電体窓と、
    プラズマ励起用のガスを供給するガス供給部と、
    前記フッ素添加カーボン膜を形成するための材料ガスを供給する材料ガス供給部と、を備える成膜装置を用い、
    前記ガス供給部からプラズマ励起用の前記ガスを供給させ、前記アンテナからマイクロ波を放射させてプラズマを励起させ、前記材料ガス供給部から前記材料ガスを供給させて、前記材料ガスを前記プラズマにより反応させて前記フッ素添加カーボン膜を形成する、請求項1又は2記載の半導体装置の製造方法。
JP2014500927A 2012-02-22 2013-02-21 半導体装置の製造方法及び半導体装置 Expired - Fee Related JP5935227B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2012036424 2012-02-22
JP2012036424 2012-02-22
PCT/JP2013/054380 WO2013125647A1 (ja) 2012-02-22 2013-02-21 半導体装置の製造方法及び半導体装置

Publications (2)

Publication Number Publication Date
JPWO2013125647A1 JPWO2013125647A1 (ja) 2015-07-30
JP5935227B2 true JP5935227B2 (ja) 2016-06-15

Family

ID=49005824

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014500927A Expired - Fee Related JP5935227B2 (ja) 2012-02-22 2013-02-21 半導体装置の製造方法及び半導体装置

Country Status (4)

Country Link
US (1) US9543191B2 (ja)
JP (1) JP5935227B2 (ja)
TW (1) TWI587396B (ja)
WO (1) WO2013125647A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019067579A1 (en) * 2017-09-27 2019-04-04 Invensas Corporation INTERCONNECTION STRUCTURES AND METHODS OF FORMING THE SAME

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164780B2 (en) 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3031301B2 (ja) * 1997-06-25 2000-04-10 日本電気株式会社 銅配線構造およびその製造方法
US6165898A (en) * 1998-10-23 2000-12-26 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method without etch stop layer
US6803314B2 (en) * 2001-04-30 2004-10-12 Chartered Semiconductor Manufacturing Ltd. Double-layered low dielectric constant dielectric dual damascene method
JP4413556B2 (ja) 2003-08-15 2010-02-10 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法
US7803705B2 (en) * 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
JP4715207B2 (ja) 2004-01-13 2011-07-06 東京エレクトロン株式会社 半導体装置の製造方法及び成膜システム
WO2006137384A1 (ja) * 2005-06-20 2006-12-28 Tohoku University 層間絶縁膜および配線構造と、それらの製造方法
JP5120913B2 (ja) * 2006-08-28 2013-01-16 国立大学法人東北大学 半導体装置および多層配線基板
JP5261964B2 (ja) * 2007-04-10 2013-08-14 東京エレクトロン株式会社 半導体装置の製造方法
JP5089244B2 (ja) * 2007-05-22 2012-12-05 ローム株式会社 半導体装置
JP2009088267A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜方法、成膜装置、記憶媒体及び半導体装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019067579A1 (en) * 2017-09-27 2019-04-04 Invensas Corporation INTERCONNECTION STRUCTURES AND METHODS OF FORMING THE SAME
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same

Also Published As

Publication number Publication date
TWI587396B (zh) 2017-06-11
JPWO2013125647A1 (ja) 2015-07-30
TW201401375A (zh) 2014-01-01
US9543191B2 (en) 2017-01-10
WO2013125647A1 (ja) 2013-08-29
US20150041983A1 (en) 2015-02-12

Similar Documents

Publication Publication Date Title
KR101083211B1 (ko) 높은 선택도로 유전체 배리어층을 에칭하는 방법
TWI640040B (zh) 用於穩定蝕刻後界面以減少下一處理步驟前佇列時間問題的方法
US9362111B2 (en) Hermetic CVD-cap with improved step coverage in high aspect ratio structures
WO2005069367A1 (ja) 半導体装置の製造方法および成膜システム
JP4986625B2 (ja) 膜の製造方法および当該方法で製造された膜を用いた半導体装置
JP2008218959A (ja) エッチング方法および記憶媒体
JP2009088267A (ja) 成膜方法、成膜装置、記憶媒体及び半導体装置
KR20130135262A (ko) 마이크로파 플라즈마를 사용한 유전체 막의 증착 방법
US11319630B2 (en) Deposition apparatus and deposition method
JP4358563B2 (ja) 半導体装置の低誘電率絶縁膜形成方法
JP5935227B2 (ja) 半導体装置の製造方法及び半導体装置
JP4209253B2 (ja) フッ素添加カーボン膜の形成方法
JP5710606B2 (ja) アモルファスカーボンのドーピングによるフルオロカーボン(CFx)の接合の改善
JP2005260060A (ja) レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
JP2006073612A (ja) レジスト除去方法
KR102053350B1 (ko) 저유전율 절연층을 가진 반도체 소자를 형성하는 방법
TWI505360B (zh) 用於氟碳化物膜之金屬碳化物阻障層的形成方法
KR101384590B1 (ko) 반도체 디바이스 제조 방법 및 반도체 디바이스 제조 장치
JP5304759B2 (ja) 成膜方法及び半導体装置
JP2005093721A (ja) 半導体装置の低誘電率絶縁膜形成方法、その方法を用いた半導体装置および低誘電率絶縁膜形成装置
TWI469199B (zh) 氟碳化物膜中之懸空鍵的控制方法
KR100733440B1 (ko) 불소 첨가 카본막의 형성 방법
JP2006059848A (ja) レジスト除去方法及び半導体装置の製造方法
KR20160138078A (ko) 성막 방법, 반도체 장치 제조 방법 및 반도체 장치
JP2014103165A (ja) 半導体素子の製造方法、および半導体素子の製造装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150604

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151013

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160419

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160422

R150 Certificate of patent or registration of utility model

Ref document number: 5935227

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees