KR101405311B1 - 반도체 집적 회로 장치의 제조 방법 및 그에 의해 제조된반도체 집적 회로 장치 - Google Patents

반도체 집적 회로 장치의 제조 방법 및 그에 의해 제조된반도체 집적 회로 장치 Download PDF

Info

Publication number
KR101405311B1
KR101405311B1 KR1020080032663A KR20080032663A KR101405311B1 KR 101405311 B1 KR101405311 B1 KR 101405311B1 KR 1020080032663 A KR1020080032663 A KR 1020080032663A KR 20080032663 A KR20080032663 A KR 20080032663A KR 101405311 B1 KR101405311 B1 KR 101405311B1
Authority
KR
South Korea
Prior art keywords
spacer
gate electrode
mask layer
semiconductor substrate
circuit device
Prior art date
Application number
KR1020080032663A
Other languages
English (en)
Other versions
KR20090039584A (ko
Inventor
김전중
박상진
이민호
토마스 어. 다이어
선페이 팡
권오성
조니 위도도
Original Assignee
삼성전자주식회사
글로벌파운드리즈 싱가포르 피티이 엘티디
인피니언 테크놀로지스 아게
인터내셔널 비즈니스 머신즈 코오퍼레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 글로벌파운드리즈 싱가포르 피티이 엘티디, 인피니언 테크놀로지스 아게, 인터내셔널 비즈니스 머신즈 코오퍼레이션 filed Critical 삼성전자주식회사
Publication of KR20090039584A publication Critical patent/KR20090039584A/ko
Application granted granted Critical
Publication of KR101405311B1 publication Critical patent/KR101405311B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 잡적 회로 장치의 제조 방법이 제공된다. 반도체 집적 회로 장치의 제조 방법은 반도체 기판 상에 게이트 절연막, 상기 게이트 절연막 상에 형성된 게이트 전극, 게이트 전극 측면에 형성된 제1 스페이서 및 게이트 전극에 정렬되어 형성된 소스/드레인 영역을 포함하는 트렌지스터를 형성하고, 반도체 기판 상에 실리사이드 공정을 진행하여 게이트 전극의 상면 및 소스/드레인 영역 상에 실리사이드층을 형성하고, 제1 스페이서의 상부가 일부 드러나도록 반도체 기판 상에 마스크층을 형성하고, 제1 스페이서를 제거하고, 마스크층을 제거하고, 게이트 전극 및 반도체 기판을 덮도록 스트레스막을 형성하는 것을 포함한다.
반도체 집적 회로 장치, 스트레스막

Description

반도체 집적 회로 장치의 제조 방법 및 그에 의해 제조된 반도체 집적 회로 장치{Method of fabricating semiconductor integrated circuit device and semiconductor integrated circuit device by the same}
본 발명은 반도체 집적 회로 장치의 제조 방법에 관한 것으로, 보다 상세하게는 신뢰성이 향상된 반도체 집적 회로 장치의 제조 방법에 관한 것이다.
일반적으로, 모스 전계 효과 트랜지스터(MOS Field Effect Transistor; MOSFET)는 반도체 기판 상에 형성된 게이트 전극이 얇은 게이트 절연막에 의해 절연되어 있으며, 게이트 전극 양측에 소스/드레인 영역이 형성되어 있다. 이와 같은 MOS 트랜지스터는 적절한 바이어스 전압을 인가함에 따라 게이트 절연막 하부에 채널 영역이 형성되며, 이러한 바이어스 전압을 적절히 조절하여 된다.
이와 같은 반도체 메모리 소자는 고집적화됨에 따라, 메모리 셀의 크기가 점점 작아지고 있으며, 시장의 요구에 따라 속도도 점점 빨라지고 있다. 이러한 고집적화 및 고속화에 따른 한계를 극복하면서 보다 우수한 성능을 반도체 소자를 형성하기 위해 다양한 방법이 연구되고 있다. 특히, 고성능의 반도체 소자를 구현하기 위하여 전자 또는 정공의 이동도(mobility)를 증가시키는 방법이 연구되고 있다.
전자 또는 정공의 이동도를 증가시키는 방법의 하나로 채널 영역에 물리적인 스트레스(stress)를 가하여 채널 영역의 에너지 밴드(energy band) 구조를 변경시키는 방법이 있다. 예를 들어, N형 트랜지스터는 채널에 인장 스트레스(tensile stress)를 가하는 경우 성능이 향상되며, P형 트랜지스터는 채널에 압축 스트레스(compressive stress)를 가하는 경우 성능이 향상된다.
따라서, 채널 영역에 스트레스를 가하기 위하여 트랜지스터 상부에 스트레스막을 형성하게 된다. 이 때, 스트레스막의 스트레스를 채널 영역에 보다 효과적으로 전달하면서도 반도체 소자가 안정적으로 동작하게 하는 것이 보다 중요해지고 있다.
본 발명이 해결하고자 하는 과제는, 신뢰성이 향상된 반도체 집적 회로 장치의 제조 방법을 제공하는 데 있다.
본 발명이 해결하고자 하는 다른 과제는, 신뢰성이 향상된 반도체 집적 회로 장치를 제공하는 데 있다.
본 발명이 해결하고자 하는 과제들은 이상에서 언급한 과제들로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 일 실시예에 따른 반도체 집적 회로 장치의 제조 방법은 반도체 기판 상에 게이트 절연막, 상기 게이트 절연막 상에 형성된 게이트 전극, 상기 게이트 전극 측면에 형성된 제1 스페이서 및 상기 게이트 전극에 정렬되어 형성된 소스/드레인 영역을 포함하는 트렌지스터를 형성하고, 상기 반도체 기판 상에 실리사이드 공정을 진행하여 상기 게이트 전극의 상면 및 상기 소스/드레인 영역 상에 실리사이드층을 형성하고, 상기 제1 스페이서의 상부가 일부 드러나도록 상기 반도체 기판 상에 마스크층을 형성하고, 상기 제1 스페이서를 제거하고, 상기 마스크층을 제거하고, 상기 게이트 전극 및 상기 반도체 기판을 덮도록 스트레스막을 형성하는 것을 포함한다.
상기 다른 기술적 과제를 달성하기 위한 본 발명의 일 실시예에 따른 반도체 집적 회로 장치는 반도체 기판 상에 형성된 게이트 절연막, 상기 게이트 절연막 상에 형성된 게이트 전극, 상기 게이트 전극에 정렬되어 형성된 소스/드레인 영역 및 상기 게이트 전극의 상면 및 소스/드레인 영역 상에 형성된 실리사이드층을 포함하며, 상기 게이트 전극 상면의 실리사이드층의 표면 손상도보다 상기 소스/드레인 영역 상의 실리사이드층의 표면 손상도가 적다.
본 발명의 기타 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
상기한 바와 같은 반도체 집적 회로 장치 및 그 제조 방법에 따르면 다음과 같은 효과가 하나 혹은 그 이상 있다.
첫째, 스트래스막과 채널 영역과의 거리가 보다 가까워짐으로써, 스트레스막에서 채널 영역에 보다 효과적으로 스트레스를 인가할 수 있다.
둘째, 제2 스페이서를 제거할 때에 소스/드레인 영역 상의 실리사이드층을 보호함으로써, 실리사이드층의 손상을 방지할 수 있다. 따라서, 실리사이드층의 저항이 증가하고 누설 전류가 증가하는 것을 방지할 수 있다. 즉, 반도체 집적 회로 장치의 신뢰성이 향상될 수 있다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참고하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참고 부호는 동일 구성 요소를 지칭한다.
이하 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다. 및/또는 은 언급된 아이템들의 각각 및 하나 이상의 모든 조합을 포함한다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 포함한다(comprises) 및/또는 포함하는(comprising)은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.
이하, 도 1 내지 도 10을 참조하여, 본 발명의 일 실시예에 따른 반도체 집적 회로 장치의 제조 방법에 대하여 설명한다. 도 1 내지 도 10은 본 발명의 일 실시예에 따른 반도체 집적 회로 장치의 제조 방법을 순차적으로 나타낸 도면이다.
이하 제조 방법 설명 시, 본 발명의 기술분야에서 통상의 지식을 가진 자에게 널리 알려진 공정 단계들에 따라 형성될 수 있는 공정에 대해서는 본 발명이 모 호하게 해석되는 것을 피하기 위하여 개략적으로 설명한다.
먼저, 도 1을 참조하면, 반도체 기판(100)에 활성 영역을 정의하는 소자 분리 영역(102)을 형성한다.
반도체 기판(100)은 실리콘 기판, SOI(Silicon On Insulator) 기판, 갈륨 비소 기판, 실리콘 게르마늄 기판, 세라믹 기판, 석영 기판, 또는 디스플레이용 유리 기판 등이 될 수 있다. 또한, 반도체 기판(100)으로는 P형 기판 또는 N형 기판이 사용될 수 있는데 주로 P형 기판이 사용된다. 이 때, 도면에는 표시하지 않았으나, 반도체 기판(100) 상부에 P형 에피층(epitaxial layer)을 성장시켜 사용할 수 있다. 한편, 도면에는 도시하지 않았지만, 반도체 기판(100)은 p형 또는 n형 불순물이 도핑되어 있는 P형 웰 또는 N형 웰을 포함할 수 있다.
활성 영역과 비활성 영역을 정의하는 소자 분리 영역(102)은 STI(Shallow Trench Isolation; STI) 또는 FOX(Field OXide; FOX)로 형성할 수 있다.
이어서, 도 2를 참조하면, 반도체 기판(100)의 활성 영역 상에 게이트 절연막(110) 및 게이트 전극(120)을 형성한다.
구체적으로 설명하면, 반도체 기판(100) 상에 게이트 절연막용 절연막 및 게이트 전극용 도전막을 차례로 증착한 후 패터닝하여, 게이트 절연막(110) 및 게이트 전극(120)을 형성한다.
게이트 절연막(110)은 예를 들어, 실리콘 산화막(SiOx), 실리콘 산질화막(SiON), 티타늄 산화막(TiOx) 및 탄탈륨 산화막(TaOx) 등의 물질일 수 있다. 게이트 절연막(130)은 화학 기상 증착법 또는 스퍼터링(sputtering)의 방법으로 증착 할 수 있다.
게이트 전극(120)은 도전체로써, n형 또는 p형 불순물이 도핑된 폴리실리콘막, 금속막, 금속 실리사이드막, 또는 금속 질화막 등이 하나 이상 적층된 구조를 가질 수 있다. 게이트 전극(120)에 포함된 금속은 예를 들어, 텅스텐(W), 코발트(Co), 니켈(Ni), 티타늄(Ti), 탄탈늄(Ta) 등일 수 있다.
이어서, 도 3을 참조하면, 게이트 절연막(110) 및 게이트 전극(120)의 측면에 제1 스페이서(130)를 형성한다.
제1 스페이서(130)는 반도체 기판(100)에 산화 공정을 진행하여 형성할 수 있다. 산화 공정을 진행하면, 게이트 절연막(110) 및 게이트 전극(120)의 측면에서 반도체 기판까지 연장되어 산화막이 형성된다. 여기서, 게이트 절연막(110) 및 게이트 전극(120) 측면에 형성된 산화막은 제1 스페이서(130)로써, 게이트 전극(120)의 측면을 보호한다. 또한, 제1 스페이서(130)를 형성하기 위한 산화 공정을 진행하는 동안 반도체 기판(100)의 결점 등이 치유되어 형성하려는 반도체 소자의 신뢰성이 향상될 수도 있다.
이어서, 도 4를 참조하면, 제1 스페이서(130) 측면에 제2 스페이서(140)를 형성하고, 게이트 전극(120)에 정렬된 소스/드레인 영역(150)을 형성한다. 여기서, 소스/드레인 영역(150)은 DDD(Double Diffsed Drain) 또는 LDD(Lightly Doped Drain) 구조로 형성될 수 있다.
예를 들어, LDD 구조의 소스/드레인 영역(150)을 형성하는 경우, 우선, 게이트 전극(120)을 마스크로 하여 LDD(Lightly Doped Drain) 구조의 소스/드레인 영 역(150)을 형성하기 위한 저농도 이온 주입을 진행한다. 이어서, 반도체 기판(100) 전면에 컨포말하게 절연막(미도시)을 형성한다. 이 때, 절연막은 예를 들어, 질화막일 수 있다. 절연막은 예를 들어, 화학 기상 증착(Chemical Vapor Deposition; CVD)의 방법으로 형성될 수 있으며, 기판(100) 전면에 컨포말하게 형성한다. 이어서, 절연막을 이방성 식각하여 제1 스페이서(130) 측면에 제2 스페이서(140)를 형성한다. 이어서, 제2 스페이서(140)를 마스크로 고농도의 불순물을 주입하여 소스/드레인 영역(150)을 완성한다. 이 때, N형 모스 트랜지스터인 경우, 비소(As) 또는 인(P) 등을 고농도의 수십 keV의 에너지로 이온 주입을 하고, P형 모스 트랜지스터의 경우, 붕소(B), 붕소 불화물(BF2, BF3), 인듐(In) 등을 고농도의 수십 keV의 에너지로 이온 주입을 하여 소스/드레인 영역(150)을 형성할 수 있다.
이어서, 도 5를 참조하면, 실리사이드 공정을 진행하여 게이트 전극(120)의 상면 및 소스/드레인 영역(150) 상에 실리사이드층(162, 164)을 형성한다.
실리사이드층(162, 164)을 형성하기 위해서는 우선, 반도체 기판(100) 전면에 금속층(미도시)을 형성한다. 이 때, 금속층은 스퍼터링(sputtering)의 방법으로 형성할 수 있으며, 예를 들어, Ti, Pt, Pd, Co, Ni 및 W 등으로 형성할 수 있다. 이어서, 반도체 기판(100)을 일정한 공정 조건하에서 열처리하는 어닐링 공정(annealing process)을 진행하여 실리사이드 공정을 진행한다. 그러면, 금속층과 실리콘이 접촉하고 있는 영역에서 실리사이드 반응이 유발되어 실리사이드층(162, 164)이 형성된다. 이어서, 미반응된 금속층을 식각 또는 클리닝 공정에 의해 제거한다.
이어서, 도 6을 참조하면, 제2 스페이서(140) 및 실리사이드층(162, 164)을 완전히 덮도록 마스크층(320)을 형성한다.
마스크층(320)은 후속 공정에서 제2 스페이서(140)를 제거할 때에 반도체 기판(100)을 보호할 수 있는 물질로써 예를 들어, 포토레지스트로 형성할 수 있다.
이어서, 도 7을 참조하면, 제2 스페이서(140)의 상부가 일부 드러나도록 마스크층(320)의 일부를 제거한다.
제2 스페이서(140)의 상부가 일부 드러나도록 마스크층(320)의 일부를 제거하는 것은 에치백(etch back) 공정에 의해 진행할 수 있다. 이 때, 마스크층(320)은 일부만을 제거하여, 반도체 기판(100)이 드러나지 않도록 한다.
이어서, 도 8을 참조하면, 제2 스페이서(140)를 제거한다.
제2 스페이서(140)는 예를 들어, 반응 이온 식각(Reactive Ion Etching; RIE) 등의 건식 식각 공정에 의해 제거할 수 있다. 이 때, 마스크층(320)보다 제2 스페이서(140)의 식각률이 큰 식각 가스를 사용하여 건식 식각 공정을 진행할 수 있다. 그러면, 마스크층(320)은 식각되지 않고, 제2 스페이서(140)만이 식각될 수 있다.
또는, 습식 식각 공정에 의해서 제2 스페이서(140)를 제거할 수 있다. 이 때, 마스크층(320)보다 제2 스페이서(140)의 식각률이 큰 식각액을 사용하여 습식 식각 공정을 진행할 수 있다.
반도체 기판(100) 상에 마스크층(320)을 형성한 후에, 제2 스페이서(140)를 제거하면, 제2 스페이서(140)를 제거하는 공정에 의해 반도체 기판(100)이 손상을 받지 않는다. 즉, 제2 스페이서(140) 제거 공정에서 반도체 기판(100) 및 반도체 기판(100) 상에 형성된 소스/드레인 영역(150) 상의 실리사이드층(164)을 보호할 수 있다. 따라서, 게이트 전극(120) 상면의 실리사이드층의 표면 손상도보다 소스/드레인 영역(150) 상의 실리사이드층의 표면 손상도가 적게 된다. 여기서, 표면 손상도는 식각에 의한 물리적 충격 및 화학적 충격에 따른 표면의 물리적 손상, 저항의 증가, 누설 전류의 발생 및 핫 캐리어의 증가 등을 모두 포함한다.
제2 스페이서(140)를 제거하면, 스트레스막과 채널 영역간의 거리가 보다 근접해지게 된다. 따라서, 후속 공정에서 스트레스막을 형성하여 채널 영역에 스트레스를 인가할 때에, 보다 효과적으로 채널 영역에 스트레스를 인가할 수 있다.
이어서, 도 9를 참조하면, 마스크층(320)을 제거한다. 마스크층(320)은 예를 들어, 습식 식각 공정으로 진행할 수 있다. 마스크층(320)은 포토레지스트 등의 물질로 형성되므로, 제거하기가 수월하여, 마스크층(320)을 제거할 때는 반도체 기판(100)의 손상이 크게 발생하지 않는다.
이어서, 도 10을 참조하면, 게이트 전극(120) 및 반도체 기판(100)을 덮도록 스트레스막(210)을 형성한다. 스트레스막(210)은 예를 들어, SiN 등의 질화막으로 형성할 수 있다.
스트레스막(210)은 인장 스트레스막(tensile stress layer) 또는 압축 스트레스막(compressive stress layer)으로 형성할 수 있다. 여기서, 인장 스트레스막은 예를 들어, LPCVD(Low Pressure Chemical Vapor Deposition)의 방법으로 형성할 수 있다. 또한, 압축 스트레스막은 예를 들어, PECVD(Plasma Enhanced Chemical Vapor Deposition)의 방법으로 형성할 수 있다. 이 때, 압력 및 온도 등의 증착 조건을 적절히 고려하여 인장 스트레스막 및 압축 스트레스막을 구분하여 형성할 수 있다.
한편, 반도체 기판(100) 상에 형성된 트랜지스터는 N형 트랜지스터 또는 P형 트랜지스터일 수 있다. 여기서, 반도체 기판(100) 상에 형성된 트랜지스터가 N형 트랜지스터인 경우, 스트레스막(210)은 인장 스트레스막으로 형성될 수 있다. 또한, 반도체 기판(100) 상에 형성된 트랜지스터가 P형 트랜지스터인 경우, 스트레스막(210)은 압축 스트레스막으로 형성될 수 있다.
트랜지스터 상부에 스트레스막(210)을 형성하면, 채널 영역 상에 스트레스가 인가되어, 반도체 소자의 특성이 보다 향상될 수 있다. 즉, N형 트랜지스터 상에 인장 스트레스막을 형성하는 경우, 전자의 이동도가 좋아지며, P형 트랜지스터 상에 압축 스트레스막을 형성하는 경우, 정공의 이동도가 좋아져, 반도체 소자의 특성이 보다 향상될 수 있다.
본 발명의 일 실시예에 따른 반도체 집적 회로 장치의 제조 방법에 따르면, 제2 스페이서(140)를 제거함으로써, 스트레스막(210)과 채널 영역과의 거리를 보다 근접하게 할 수 있다. 따라서, 스트레스막(210)에서 채널 영역에 보다 효과적으로 스트레스를 인가할 수 있다.
또한, 제2 스페이서(140)를 제거할 때에 마스크층(320)에 의해 반도체 기판(100) 상면을 블로킹함으로써, 소스/드레인 영역(150) 상의 실리사이드층(164)을 보호한다. 따라서, 소스/드레인 영역(150)의 실리사이드층(164)이 손상됨에 따라 실리사이드층(164)의 저항이 증가하고 누설 전류가 증가하는 것을 방지할 수 있다. 즉, 반도체 집적 회로 장치의 신뢰성이 향상될 수 있다.
이하, 도 10을 참조하여, 본 발명의 일 실시예에 따른 반도체 집적 회로 장치에 대하여 설명한다.
도 10을 참조하면, 반도체 집적 회로 장치는 반도체 기판(100) 상에 형성된 게이트 절연막(110), 게이트 절연막(110) 상에 형성된 게이트 전극(120), 게이트 전극(120)에 정렬되어 형성된 소스/드레인 영역(150), 게이트 전극(120)의 상면 및 소스/드레인 영역(150) 상에 형성된 실리사이드층(162, 164), 게이트 전극(120)의 측면에서 실리사이드층(162, 164)이 형성되지 않은 반도체 기판(100)의 상면까지 연장되어 형성된 제1 스페이서(130), 및 게이트 전극(120) 및 반도체 기판(100)을 덮도록 형성된 스트레스막(210)을 더 포함한다.
여기서, 게이트 전극(120) 상면의 실리사이드층(162)의 표면 손상도보다 소스/드레인 영역(150) 상의 실리사이드층(164)의 표면 손상도가 더 적다.
또한, 소스/드레인 영역(150) 상의 실리사이드층(164)은 게이트 전극(120)에서 소정 간격 이격되어 형성되며, 실리사이드층(164)이 형성되지 않은 반도체 기판(100)의 표면의 손상도는 소스/드레인 영역(150) 상의 실리사이드층(164)의 손상도보다 크다.
게이트 전극(120)과 실리사이드층(164) 사이의 반도체 기판(100) 영역에는 게이트 전극(120)의 측면에서부터 연장된 제1 스페이서(130)가 형성되며, 제1 스페이서(130)는 산화막으로 형성될 수 있다. 여기서, 제1 스페이서(130)는 게이트 절 연막(110)와 비슷하거나 게이트 절연막(110)보다 적은 두께로 형성될 수 있다.
스트레스막(210)은 인장 스트레스막(tensile stress layer) 또는 압축 스트레스막(compressive stress layer)일 수 있다.
한편, 반도체 기판(100) 상에 형성된 트랜지스터는 N형 트랜지스터 또는 P형 트랜지스터일 수 있다. 여기서, 반도체 기판(100) 상에 형성된 트랜지스터가 N형 트랜지스터인 경우, 스트레스막(210)은 인장 스트레스막일 수 있다. 또한, 반도체 기판(100) 상에 형성된 트랜지스터가 P형 트랜지스터인 경우, 스트레스막(210)은 압축 스트레스막일 수 있다.
본 발명의 일 실시예에 따른 반도체 집적 회로 장치에 따르면, 질화막 등으로 형성되어 소스/드레인 영역(150)의 저농도 영역 상부에 형성되는 스페이서가 없기 때문에, 스트레스막(210)과 채널 영역과의 거리가 보다 가깝게 된다. 따라서, 스트레스막(210)에서 채널 영역에 보다 효과적으로 스트레스를 인가할 수 있다.
또한, 본 발명의 일 실시예에 따른 반도체 집적 회로 장치에 따르면, 소스/드레인 영역(150) 상의 실리사이드층(164)은 공정 진행 중에 손상이 최소화 될 수 있다. 따라서, 게이트 전극(120) 상부에 형성된 실리사이드층(162)보다 표면의 손상도가 적게 된다. 따라서, 소스/드레인 영역(150) 상의 실리사이드층(164)의 손상에 따른 누설 전류 증가, 실리사이드 저항 증가 등을 방지함으로써, 보다 신뢰성이 향상된 반도체 집적 회로 장치를 제공할 수 있다.
이상 첨부된 도면을 참고하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수 적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
도 1 내지 도 10은 본 발명의 일 실시예에 따른 반도체 집적 회로 장치의 제조 방법을 순차적으로 나타낸 도면이다.
(도면의 주요부분에 대한 부호의 설명)
100: 반도체 기판 102: 소자 분리 영역
110: 게이트 절연막 120: 게이트 전극
130: 제1 스페이서 140: 제2 스페이서
150: 소스/드레인 영역 162, 164: 실리사이드층
210: 스트레스막 320: 마스크층

Claims (19)

  1. 반도체 기판 상에 게이트 절연막, 상기 게이트 절연막 상에 형성된 게이트 전극, 상기 게이트 전극 측면에 형성된 제1 스페이서 및 상기 게이트 전극에 정렬되어 형성된 소스/드레인 영역을 포함하는 트렌지스터를 형성하고,
    상기 반도체 기판 상에 실리사이드 공정을 진행하여 상기 게이트 전극의 상면 및 상기 소스/드레인 영역 상에 실리사이드층을 형성하고,
    상기 제1 스페이서의 상부가 일부 드러나도록 상기 반도체 기판 상에 마스크층을 형성하고,
    상기 마스크층을 식각 마스크로 이용하여, 상기 제1 스페이서를 제거하고,
    상기 마스크층을 제거하고,
    상기 게이트 전극 및 상기 반도체 기판을 덮도록 스트레스막을 형성하는 것을 포함하는 반도체 집적 회로 장치의 제조 방법.
  2. 제 1항에 있어서,
    상기 제1 스페이서의 상부가 일부 드러나도록 상기 반도체 기판 상에 마스크층을 형성하는 것은,
    상기 제1 스페이서 및 상기 실리사이드층을 완전히 덮도록 마스크층을 형성하고,
    상기 제1 스페이서의 상부가 일부 드러나도록 상기 마스크층의 일부를 제거 하는 것을 포함하는 반도체 집적 회로 장치의 제조 방법.
  3. 제 2항에 있어서,
    상기 제1 스페이서의 상부가 일부 드러나도록 상기 마스크층의 일부를 제거하는 것은 에치백(etch back) 공정에 의해 진행하는 반도체 집적 회로 장치의 제조 방법.
  4. 제 1항에 있어서,
    상기 마스크층은 포토레지스트로 형성하는 반도체 집적 회로 장치의 제조 방법.
  5. 제 1항에 있어서,
    상기 마스크층은 상기 소스/드레인 영역 상부를 덮는 반도체 집적 회로 장치의 제조 방법.
  6. 제 1항에 있어서,
    상기 제1 스페이서를 제거하는 것은 건식 식각에 의해 진행하는 것을 포함하고,
    상기 건식 식각은 상기 마스크층보다 상기 제1 스페이서에 대한 식각율이 큰 식각 가스를 사용하는 반도체 집적 회로 장치의 제조 방법.
  7. 제 1항에 있어서,
    상기 마스크층을 형성하는 것은 상기 게이트 전극의 상면에 형성된 실리사이드층은 노출시키고, 상기 소스/드레인 영역 상에 형성된 실리사이드층은 비노출시키는 것을 포함하는 반도체 집적 회로 장치의 제조 방법.
  8. 제 1항에 있어서,
    상기 제1 스페이서 내측면에서 상기 제1 스페이서 밑면에까지 연장된 제2 스페이서를 더 포함하는 반도체 집적 회로 장치의 제조 방법.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
KR1020080032663A 2007-10-17 2008-04-08 반도체 집적 회로 장치의 제조 방법 및 그에 의해 제조된반도체 집적 회로 장치 KR101405311B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/874,118 2007-10-17
US11/874,118 US7923365B2 (en) 2007-10-17 2007-10-17 Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon

Publications (2)

Publication Number Publication Date
KR20090039584A KR20090039584A (ko) 2009-04-22
KR101405311B1 true KR101405311B1 (ko) 2014-06-13

Family

ID=40562609

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080032663A KR101405311B1 (ko) 2007-10-17 2008-04-08 반도체 집적 회로 장치의 제조 방법 및 그에 의해 제조된반도체 집적 회로 장치

Country Status (3)

Country Link
US (2) US7923365B2 (ko)
KR (1) KR101405311B1 (ko)
SG (1) SG152165A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009130035A (ja) * 2007-11-21 2009-06-11 Toshiba Corp 半導体装置の製造方法
KR101638974B1 (ko) * 2009-06-17 2016-07-13 삼성전자주식회사 광 변조기와 그 제조 및 동작방법과 광 변조기를 포함하는 광학장치
US8159009B2 (en) * 2009-11-19 2012-04-17 Qualcomm Incorporated Semiconductor device having strain material
KR101833849B1 (ko) 2010-10-13 2018-03-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN102569301A (zh) * 2012-03-01 2012-07-11 上海宏力半导体制造有限公司 Sram存储器及其形成方法
JP2020155562A (ja) * 2019-03-20 2020-09-24 ソニーセミコンダクタソリューションズ株式会社 半導体装置および半導体装置の製造方法
CN110350029B (zh) * 2019-06-20 2023-04-28 北京元芯碳基集成电路研究院 晶体管及其制造方法
US11322387B1 (en) 2020-10-13 2022-05-03 Globalfoundries U.S. Inc. Bulk wafer switch isolation

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970018691A (ko) * 1995-09-07 1997-04-30 김광호 모스 트랜지스터 및 그 제조방법
KR20060034992A (ko) * 2004-10-20 2006-04-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP2007123518A (ja) * 2005-10-27 2007-05-17 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
KR100752201B1 (ko) * 2006-09-22 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3321864B2 (ja) 1992-11-24 2002-09-09 ヤマハ株式会社 半導体装置とその製法
JP3307489B2 (ja) * 1993-12-09 2002-07-24 三菱電機株式会社 半導体装置およびその製造方法
KR970001869B1 (ko) 1994-11-08 1997-02-17 대우통신 주식회사 휴대용 단말기의 위치데이터 제공방법
KR0183785B1 (ko) 1995-12-22 1999-03-20 윤종용 모스 트랜지스터 제조방법
US6657229B1 (en) * 1996-05-28 2003-12-02 United Microelectronics Corporation Semiconductor device having multiple transistors sharing a common gate
GB9618620D0 (en) 1996-09-06 1996-10-16 Electrotech Equipments Ltd A method of forming a layer
US6184157B1 (en) * 1998-06-01 2001-02-06 Sharp Laboratories Of America, Inc. Stress-loaded film and method for same
KR20010076522A (ko) 2000-01-26 2001-08-16 윤종용 반도체 제조 장비
US6372589B1 (en) * 2000-04-19 2002-04-16 Advanced Micro Devices, Inc. Method of forming ultra-shallow source/drain extension by impurity diffusion from doped dielectric spacer
JP4167381B2 (ja) 2000-06-08 2008-10-15 松下電器産業株式会社 半導体装置の製造方法
KR20020017845A (ko) 2000-08-31 2002-03-07 박종섭 반도체소자의 비트라인 형성방법
KR20020074551A (ko) 2001-03-20 2002-10-04 삼성전자 주식회사 반도체 장치의 배선 형성 방법
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
JP2003086704A (ja) 2001-09-14 2003-03-20 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP4173672B2 (ja) 2002-03-19 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US20030209326A1 (en) 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
JP2004047608A (ja) 2002-07-10 2004-02-12 Toshiba Corp 半導体装置及びその製造方法
JP3980985B2 (ja) 2002-10-04 2007-09-26 株式会社東芝 半導体装置とその製造方法
US7022561B2 (en) * 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
KR100498644B1 (ko) 2003-02-04 2005-07-01 동부아남반도체 주식회사 Pip 커패시터를 갖는 반도체 소자의 제조 방법
JP4015068B2 (ja) * 2003-06-17 2007-11-28 株式会社東芝 半導体装置の製造方法
JP2005064314A (ja) 2003-08-18 2005-03-10 Seiko Epson Corp 半導体装置及びその製造方法
US20050048732A1 (en) * 2003-08-26 2005-03-03 International Business Machines Corporation Method to produce transistor having reduced gate height
US6869866B1 (en) * 2003-09-22 2005-03-22 International Business Machines Corporation Silicide proximity structures for CMOS device performance improvements
US6939814B2 (en) * 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
KR100616499B1 (ko) 2003-11-21 2006-08-28 주식회사 하이닉스반도체 반도체소자 제조 방법
US7052946B2 (en) * 2004-03-10 2006-05-30 Taiwan Semiconductor Manufacturing Co. Ltd. Method for selectively stressing MOSFETs to improve charge carrier mobility
TWI252539B (en) * 2004-03-12 2006-04-01 Toshiba Corp Semiconductor device and manufacturing method therefor
KR101025761B1 (ko) * 2004-03-30 2011-04-04 삼성전자주식회사 디지탈 회로 및 아날로그 회로를 가지는 반도체 집적회로및 그 제조 방법
US7190033B2 (en) * 2004-04-15 2007-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of manufacture
KR20060000912A (ko) 2004-06-30 2006-01-06 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP4444027B2 (ja) 2004-07-08 2010-03-31 富士通マイクロエレクトロニクス株式会社 nチャネルMOSトランジスタおよびCMOS集積回路装置
US20060011586A1 (en) * 2004-07-14 2006-01-19 Shea Kevin R Method of etching nitrides
JP2006041118A (ja) * 2004-07-26 2006-02-09 Toshiba Corp 半導体装置及びその製造方法
DE102004042167B4 (de) * 2004-08-31 2009-04-02 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden einer Halbleiterstruktur, die Transistorelemente mit unterschiedlich verspannten Kanalgebieten umfasst, und entsprechende Halbleiterstruktur
JP4794838B2 (ja) 2004-09-07 2011-10-19 富士通セミコンダクター株式会社 半導体装置およびその製造方法
DE102004052578B4 (de) * 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
DE102004052617B4 (de) * 2004-10-29 2010-08-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Halbleiterbauelements und Halbleiterbauelement mit Halbleitergebieten, die unterschiedlich verformte Kanalgebiete aufweisen
US7344934B2 (en) * 2004-12-06 2008-03-18 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
JP5002891B2 (ja) 2004-12-17 2012-08-15 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4453572B2 (ja) 2005-02-22 2010-04-21 ソニー株式会社 半導体集積回路の製造方法
US7229869B2 (en) * 2005-03-08 2007-06-12 Texas Instruments Incorporated Method for manufacturing a semiconductor device using a sidewall spacer etchback
JP2006324278A (ja) 2005-05-17 2006-11-30 Sony Corp 半導体装置およびその製造方法
US8003470B2 (en) * 2005-09-13 2011-08-23 Infineon Technologies Ag Strained semiconductor device and method of making the same
US7297584B2 (en) * 2005-10-07 2007-11-20 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices having a dual stress liner
US20070099360A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Integrated circuits having strained channel field effect transistors and methods of making
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7719089B2 (en) * 2006-05-05 2010-05-18 Sony Corporation MOSFET having a channel region with enhanced flexure-induced stress
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
US20080026523A1 (en) * 2006-07-28 2008-01-31 Chartered Semiconductor Manufacturing, Ltd And International Business Machines Corporation (Ibm) Structure and method to implement dual stressor layers with improved silicide control
US7482215B2 (en) * 2006-08-30 2009-01-27 International Business Machines Corporation Self-aligned dual segment liner and method of manufacturing the same
KR100773352B1 (ko) * 2006-09-25 2007-11-05 삼성전자주식회사 스트레스 인가 모스 트랜지스터를 갖는 반도체소자의제조방법 및 그에 의해 제조된 반도체소자
US7534678B2 (en) * 2007-03-27 2009-05-19 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuit devices having stressed NMOS and PMOS channel regions therein and circuits formed thereby
US7521314B2 (en) * 2007-04-20 2009-04-21 Freescale Semiconductor, Inc. Method for selective removal of a layer
JP2009099724A (ja) * 2007-10-16 2009-05-07 Toshiba Corp 半導体装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970018691A (ko) * 1995-09-07 1997-04-30 김광호 모스 트랜지스터 및 그 제조방법
KR20060034992A (ko) * 2004-10-20 2006-04-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP2007123518A (ja) * 2005-10-27 2007-05-17 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
KR100752201B1 (ko) * 2006-09-22 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
US20090101979A1 (en) 2009-04-23
US7923365B2 (en) 2011-04-12
KR20090039584A (ko) 2009-04-22
SG152165A1 (en) 2009-05-29
US20110156110A1 (en) 2011-06-30

Similar Documents

Publication Publication Date Title
KR101405311B1 (ko) 반도체 집적 회로 장치의 제조 방법 및 그에 의해 제조된반도체 집적 회로 장치
JP5274594B2 (ja) 自己整合されたデュアル応力層を用いるcmos構造体及び方法
US7867860B2 (en) Strained channel transistor formation
US7898028B2 (en) Process for fabricating a strained channel MOSFET device
US7572706B2 (en) Source/drain stressor and method therefor
US20080119025A1 (en) Method of making a strained semiconductor device
KR20030078205A (ko) 소오스 및 드레인 영역의 실리사이드층이 확장된 모스트랜지스터 및 그 제조방법
US10553719B2 (en) Semiconductor devices and fabrication method thereof
KR100773352B1 (ko) 스트레스 인가 모스 트랜지스터를 갖는 반도체소자의제조방법 및 그에 의해 제조된 반도체소자
KR100770536B1 (ko) 고전압 반도체 소자 및 이의 제조 방법
KR20080074737A (ko) 집적 회로 및 스트레스 근접 기술 공정을 사용한 반도체의향상된 제조 방법
US20080057636A1 (en) Strained semiconductor device and method of making same
KR20110135771A (ko) 반도체 집적 회로 장치의 제조 방법
KR100840661B1 (ko) 반도체 소자 및 그의 제조방법
CN116504718B (zh) 一种半导体结构的制作方法
KR100658088B1 (ko) 저 누설량을 갖는 mos 트랜지스터
US7172936B2 (en) Method to selectively strain NMOS devices using a cap poly layer
US20090065806A1 (en) Mos transistor and fabrication method thereof
CN102915971B (zh) 一种半导体器件的制造方法
US7211481B2 (en) Method to strain NMOS devices while mitigating dopant diffusion for PMOS using a capped poly layer
KR100772898B1 (ko) 반도체 집적 회로 장치의 제조 방법과 그에 의해 제조된 반도체 집적 회로 장치
WO2008047635A1 (en) Method for manufacturing semiconductor device and semiconductor device
US20240088293A1 (en) N-type metal oxide semiconductor transistor and method for fabricating the same
KR101673908B1 (ko) 반도체 소자 및 그 제조 방법
KR100613279B1 (ko) 모스 트랜지스터 및 그 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170526

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190530

Year of fee payment: 6