KR101054841B1 - 전기도금에 의한 수직형 장치의 형성 - Google Patents

전기도금에 의한 수직형 장치의 형성 Download PDF

Info

Publication number
KR101054841B1
KR101054841B1 KR1020097011935A KR20097011935A KR101054841B1 KR 101054841 B1 KR101054841 B1 KR 101054841B1 KR 1020097011935 A KR1020097011935 A KR 1020097011935A KR 20097011935 A KR20097011935 A KR 20097011935A KR 101054841 B1 KR101054841 B1 KR 101054841B1
Authority
KR
South Korea
Prior art keywords
layer
metal
vertical
electroplating
ild
Prior art date
Application number
KR1020097011935A
Other languages
English (en)
Other versions
KR20090096453A (ko
Inventor
해리클리아 델리지아니
치앙 후앙
존 피 휴멜
루보미르 티 로만키프
메리 비 로스웰
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20090096453A publication Critical patent/KR20090096453A/ko
Application granted granted Critical
Publication of KR101054841B1 publication Critical patent/KR101054841B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H51/00Electromagnetic relays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S205/00Electrolysis: processes, compositions used therein, and methods of preparing the compositions
    • Y10S205/924Electrolytic coating substrate predominantly comprised of specified synthetic resin
    • Y10S205/925Synthetic resin is electrically conductive

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

본 발명은 전기도금에 의해 수직형 전도성 구조물을 형성하기 위한 방법에 관한 것이다. 더 자세하게, 기판, 기판 표면 상에 위치된 별도의 금속 접촉 패드, 별도의 금속 접촉 패드 및 기판 모두 위에 위치한 레벨간 유전체(ILD) 층, 및 ILD 층을 통해 별도의 금속 접촉 패드까지 확장되는 금속 비아 구조물을 포함하는 템플릿 구조물이 우선 형성된다. 다음으로, ILD 층을 통해 별도의 금속 접촉 패드까지 확장되는 수직형 비아가 템플릿 구조물에 형성된다. 그 다음, 금속 비아 구조물을 통해 별도의 금속 접촉 패드에 전기도금 전류를 인가함으로써 수행되는 전기도금에 의해 수직형 전도성 구조물이 수직형 비아에 형성된다. 바람직하게, 템플릿 구조물은 복수의 수직형 전도성 구조물의 형성을 위해 복수의 별도의 금속 접촉 패드, 복수의 금속 비아 구조물, 및 복수의 수직형 비아를 포함한다.

Description

전기도금에 의한 수직형 장치의 형성{FORMATION OF VERTICAL DEVICES BY ELECTROPLATING}
본 발명은 전기도금에 의한 수직형 장치의 형성에 관한 것으로서, 더 자세하게는 전기도금 기술을 이용한 수직형 구조물의 형성에 관한 것이다.
전착(electrodeposition)이라고도 언급되는 전기도금은 반도체 제조에서 금속배선(metallization)을 위해 널리 이용되고 있다. 전기도금은 상이한 금속 레벨들의 금속 접촉부들을 접속 접속시키기 위하여 레벨간 유전체(ILD, inter-level dielectric) 층을 통해 연장되는 깊은 금속 비아들을 형성하는데 특히 유용하다.
미국 특허 제6,709,562호(발명의 명칭, "METHOD OF MAKING ELECTROPLATED INTERCONNECTION STRUCTURES ON INTEGRATED CIRCUIT CHIPS")에 개시되어 있는 바와 같이, 반도체 제조 공정에서 구리 금속배선을 위해 흔히 이용되는 종래의 전기도금 방법들 중 하나는 다마신(damascene) 또는 초과충전(superfilling) 방법으로서 언급되며, 본 명세서의 도 1a 내지 도 1c에 도시되어 있다. 우선, 도 1a에 도시된 바와 같이 기판(100) 및 레벨간 유전체(ILD) 층(101)을 포함하는 템플릿 구조물이 형성된다. 기판(100)은 절연체 또는 약간의 전도성을 갖거나 또는 사실상 전도성을 갖지 않는 반도체 중 하나로 형성될 수 있다. ILD 층(101)은 잘 알려져 있는 포토 리소그래피 및 에칭 기술에 의해 용이하게 형성될 수 있는 깊은 비아(102)를 포함한다. 그 다음, 도 1b에 도시된 바와 같이, 전체 템플릿 구조물 위에 연속 금속 시드 층(103)이 증착된다. 금속 시드 층(103)은 ILD 층(101)의 최상부(top) 표면 및 깊은 비아(102)의 측벽과 최하부(bottom) 표면 모두를 피복한다. 금속 시드 층(103)은 하나 이상의 접촉부들(도시 생략)을 포함하는데, 이 하나 이상의 접촉부들을 통해 전기도금 전류가 금속 시드 층(103)에 인가될 수 있다. 그 다음, 도 1c에 도시된 바와 같이, 빈 공간 없는 금속 와이어 구조물을 형성하기 위하여, 우선적으로 ILD 층(101)의 깊은 비아(102) 내로 금속(104)을 증착시키는(즉, ILD 층(101)의 최상부 표면 상에 증착되는 속도보다 훨씬 더 빠른 속도로 금속(104)이 깊은 비아(102) 내로 증착됨) 특수한 전기도금 화학물을 이용함으로써 템플릿 구조물의 전기도금이 수행된다.
상술된 초과충전 방법은 단일 원소 또는 2 이상의 상이한 원소의 균일 합금으로 비아를 충전하는데 이점을 갖는다. 그러나, 초과충전 방법은 몇몇 이유에 기인하여, 컬럼(column)의 세로 축을 따라 조정된 혼합물(modulated composition)로 컬럼을 형성하는데 이용될 수 없다. 우선, 이 방법의 전기도금 단계는 깊은 비아(102)의 최하부 표면 상에 그리고 측벽 위에 동시에 진행된다. 따라서, 초과충전 방법은 오직 구성적으로 균일한 구조물(즉, 구조물 전체가 동일한 금속 또는 금속 합금을 포함하는 구조물)을 형성하는데만 이용될 수 있고, 구성적으로 조정된 구조물(즉, 세로 방향을 따라 상이한 금속 혼합물의 교대(alternating) 층을 포함하는 구조물)을 형성하는데는 이용될 수 없다. 또한, 초과충전 방법은, 우선되는 금속 증착을 공동으로 달성하기 위하여 각각이 금속 도금 속도에 상이한 효과를 미치는 많은 상이한 첨가물들을 포함하는 특수한 전기도금 화학물을 요구한다. 따라서, 초과충전 방법은 이제껏 구리와 같은 단일 금속의 도금에만 사용되어 왔고, 특수한 전기도금 화학물의 상이한 첨가물들이 상이한 금속의 도금 속도에 어떻게 영향을 미치는지에 관련된 불확실성에 기인하여, 상이한 금속의 금속 합금 또는 교대 층의 도금을 위해서는 사용되지 않았다.
금속 와이어 구조물을 형성하는데 흔히 사용되는 또 다른 종래의 전기도금 방법을 마스크를 통한 도금(plating through mask) 방법이라 하며, 이는 도 2a 및 도 2b에 도시되어 있다. 이 방법에서, 연속 금속 시드 층(203)이 우선 기판(200)의 표면 위에 증착되고, 이어서 금속 시드 층(203) 상으로 포토 레지스트, 유전체, 또는 상대적으로 낮은 전도성을 갖는 도핑된 반도체의 레벨간 층(201)이 증착된다. 다음으로, 도 2a에 도시된 바와 같이, 포토리소그래피 및 에칭에 의해 레벨간 층(201)에 깊은 비아(202)가 형성된다. 후속 전기도금 동안에, 도 2b에 도시된 바와 같이, 깊은 비아(202)의 최하부 표면 위에 금속(204)을 증착하고 단계적으로 깊은 비아(202)을 충전하여 수직형 금속 와이어 구조물을 형성하기 위하여, 전기도금 전류가 금속 시드 층(203)에 인가된다.
마스크를 통한 도금 방법은, 단일 금속을 포함하는 금속 와이어 구조물뿐만 아니라, 금속 합금을 포함하는 금속 와이어 구조물을 형성하는데 이용될 수 있는 상향식(bottom-up) 충전 공정이다. 또한, 이 방법은 구조물의 세로 축을 따라 상이한 금속 혼합물의 교대 층을 포함하는 구성적으로 조정된 구조물을 형성하는데 이 용될 수 있다.
그러나, 종래의 마스크를 통한 도금 공정 또는 상향식 도금 공정의 주요 단점은, 연속 금속 시드 층(203)이 요구된다는 것이다. 금속 시드 층(203)이 기판(200)의 전체 표면 위에서 연속적이고 금속 와이어 구조물 모두를 접속시키기 때문에, 이와 같은 금속 와이어들은 서로에 독립적으로 기능할 수 없으며, 따라서 금속 시드 층(203)이 선택적으로 제거되지 않는 한 개별적인 전자 장치를 형성하는데 이용될 수 없다. 그러나, 금속 시드 층(203)이 레벨간 층(201)과 기판(200) 사이에 샌드위치되어 있기 때문에, 레벨간 층(201)과 기판(200)을 파열시키거나 또는 손상시키지 않고서는 금속 시드 층(203)을 제거하는 것은 거의 불가능하다.
수직형 장치 구조물을 형성하기 위한 향샹된 방법에 대한 지속적인 필요성이 존재한다. 더 중요하게는, 상이한 전도성 물질의 합금 또는 교대 층을 포함하는 개별적인 수직형 장치 구조물을 형성하기 위한 향상된 방법에 대한 필요성이 존재한다.
본 발명은 전기도금 단계 동안에 전기도금 전류를 인가하기 위하여 별도의 금속 접촉 패드 및 금속 비아를 이용한다. 이와 같은 별도의 금속 접촉 패드 및 금속 비아는 최종적인 장치의 일부로 남으며, 따라서 수직형 전도성 구조물 모두를 함께 접속시키지 않는, 또는 그렇지 않다면 각각의 수직형 전도성 구조물의 독립적인 기능성에 영향을 주지 않는, 상이한 전도성 물질의 합금 또는 상이한 전도성 물질의 교대 층을 포함하는 수직형 전도성 구조물의 형성을 허용한다. 이런 방식으로, 결과의 수직형 전도성 구조물을 용이하게 이용하여 개별적인 전자 장치들을 형성할 수 있다.
일 양태에서, 본 발명은,
기판, 기판의 최상부 표면 위에 위치된 별도의 금속 접촉 패드, 기판 및 금속 접촉 패드 모두를 피복하는 레벨간 유전체(ILD) 층, 및 ILD 층(들)을 통해 별도의 금속 접촉 패드까지 연장되는 금속 비아 구조물을 포함하는 템플릿 구조물을 형성하고,
ILD 층을 통해 별도의 금속 접촉 패드까지 연장되는 수직형 비아를 템플릿 구조물에 형성하며,
금속 비아 구조물을 통해 ILD 층 아래의 별도의 금속 접촉 패드에 전기도금 전류를 인가함으로써 수행되는 전기도금에 의해 수직형 비아에 수직형 전도성 구조물을 형성하는 것을 포함한다.
필수적인 것은 아니지만 바람직하게, 수직형 전도성 구조물은 하나 이상의 강자성 금속을 포함한다. 더 바람직하게, 수직형 전도성 구조물은 상이한 강자성 금속의 교대 층을 포함한다. 대안으로서, 수직형 전도성 구조물은 전도성 폴리머 또는 도핑된 반도체 물질을 포함할 수 있다.
상술된 바와 같은 템플릿 구조물은 기판의 상부 표면 상에 단일 금속 접촉 패드를 포함할 수 있으며, 단일 수직형 전도성 구조물의 형성을 위해 단일 금속 비아 구조물 및 단일 수직형 비아가 그 단일 금속 접촉 패드까지 연장된다. 더욱 바람직하게, 본 발명의 템플릿 구조물은, 기판의 상부 표면 상에 위치된 복수의 별도의 금속 접촉 패드를 포함하고, 이 패드에까지 복수의 금속 비아 구조물 및 복수의 수직형 비아가 연장되어 있다. 이런 방식으로, 복수의 수직형 전도성 구조물이 단일 웨이퍼 또는 칩에 전기도금됨으로써 후속 형성될 수 있지만, 결과의 수직형 전도성 구조물은 서로 상호접속되지 않는다.
본 발명의 특정 실시예에서, 템플릿 구조물은, ILD 층의 상부 표면 상에 위치되는 패터닝된 금속 층을 더 포함하며, 패터닝된 금속 층 및 복수의 금속 비아 구조물을 통해 복수의 별도의 금속 접촉 패드에 전기도금 전류를 인가함으로써 전기도금이 수행될 수 있도록, 금속 비아 구조물 모두에 전기적으로 접속된다.
패터닝된 금속 층은 바람직하게는 수직형 비아 앞에 형성된다. 더 바람직하게, 각각이 복수의 별도의 금속 접촉 패드 중 하나와 수직 정렬되는 복수의 개구부를 형성하기 위하여 우선 ILD 층 위에 블랭킷 금속 층을 증착한 다음, 블랭킷 금속 층을 패터닝함으로써 패터닝된 금속 층이 형성된다.
또한, 이어서 형성된 수직형 비아가 절연 층 및 ILD 층 모두를 통해 연장되도록, 복수의 수직형 비아의 형성 전에 절연 층이 패터닝된 금속 층 위에 형성될 수 있다. 더 바람직하게, 후속 전기도금 동안에 가장자리 영역을 통해 전기도금 전류가 패터닝된 금속 층에 전달될 수 있도록, 패터닝된 금속 층은 처리 유닛의 가장자리 영역, 즉 웨이퍼 또는 금속의 가장자리 영역을 제외하고 절연 층에 의해 완전히 피복된다. 전기도금 후에, 패터닝된 금속 층 및 절연 층 모두가 ILD 층의 상부 표면으로부터 제거되고 그 위에 복수의 표면 금속 접촉부들이 형성되어 복수의 수직형 전도성 구조물로의 액세스를 제공할 수 있다.
다른 양태에서, 본 발명은 기판, 기판의 최상부 표면 위에 위치된 금속 접촉 패드, 기판 및 금속 접촉 패드 모두를 피복하는 레벨간 유전체(ILD) 층, ILD 층을 통해 별도의 금속 접촉 패드까지 연장되는 금속 비아 구조물, 및 ILD 층을 통해 별도의 금속 접촉 패드까지 연장되는 수직형 전도성 구조물을 포함하는 장치 구조물에 관한 것이다.
또 다른 양태에서, 후속되는 개시 및 첨부된 청구항을 통해서 본 발명의 특징 요소 및 이점들이 더욱 완전히 명백해질 것이다.
도 1a 내지 도 1c는 깊은 금속 비아를 형성하기 위한 종래의 초과충전 공정의 공정 단계들을 도시한 단면도이다.
도 2a 및 도 2b는 깊은 금속 비아를 형성하기 위한 종래의 마스크를 통한 도금 공정의 공정 단계들을 도시한 단면도이다.
도 3은 본 발명의 일 실시예에 따라 각각이 기능 유닛, 2개의 보조 유닛, 기능 유닛의 각 단부에 있는 금속 접촉 패드, 및 ILD 층을 통해 비 전도성 기판 위에 위치되는 금속 접촉 패드까지 연장되는 금속 비아 구조물을 포함하는 2개의 전자 장치의 단면도이다. 금속 접촉 패드와 함께 이 금속 비아 구조물은 기능 유닛으로의 액세스를 제공한다.
도 4 내지 도 10은 본 발명의 일 실시예에 따른 도 3의 장치 구조물을 형성하기 위한 예시적 공정 단계들을 도시한 단면도이다.
후속하는 설명에서, 본 발명의 완전한 이해를 제공하기 위하여 특정 구조물, 컴포넌트, 물질, 치수, 공정 단계 및 기술과 같은 많은 특정 상세사항들이 기술된다. 그러나, 본 발명의 정신을 벗어나지 않고, 이러한 특정 상세사항들 없이 또는 특정 상세사항들을 이들의 알려져 있는 등가물로 대체함으로써 본 발명이 실시될 수 있다는 것을 당업자는 이해할 것이다. 또한, 본 발명을 모호하게 하는 것을 피하기 위하여 당업자들에게 잘 알려져 있는 표준의 구조물 또는 공정 단계들에 대해서는 상세히 기술하지 않았다.
층, 영역, 또는 기판으로서의 구성요소가 다른 구성요소 "상에" 있는 것으로 언급되는 경우, 이 구성요소는 다른 구성요소 바로 위에 있거나 또는 개재(intervening) 구성요소가 존재할 수 있다는 것이 이해될 것이다. 대조적으로, 구성요소가 다른 구성요소 "바로 위에" 있다고 언급되는 경우에는 재개 구성요소는 존재하지 않는다. 구성요소가 다른 구성요소에 "접속" 또는 "결합"되어 있는 것으로 언급되는 경우, 이 구성요소는 다른 구성요소에 직접적으로 접속 또는 결합되거나, 또는 개재 구성요소가 존재할 수 있다는 것 또한 이해될 것이다. 대조적으로, 구성요소가 다른 구성요소에 "직접적으로 접속" 또는 "직접적으로 결합"되어 있는 것으로 언급되는 경우에는 개재 구성요소는 존재하지 않는다.
본 명세서에서 사용되는 용어 "수직형"은 기판 표면 위에 위치되는 구조물 또는 장치로서, 그와 같은 구조물 또는 장치의 수직 축이 기판의 표면 위에 수직인 구조물 또는 장치를 언급하는 것이다.
본 명세서에서 사용되는 용어 "금속 접촉부" 또는 "금속 접촉부들"은 측면 확장이 제한된 금속 구조물을 언급하는 것이다. 전체 기판 표면 또는 기판 표면의 대부분을 피복하는 연속 금속 층과는 달리, 금속 접촉부 또는 금속 접촉부들은 후속 장치 구조물이 구축될 기판 표면의 선택된 영역 또는 선택된 영역들 상으로만 연장된다. 금속 접촉부 또는 금속 접촉부들은 연속 금속 층을 패터닝함으로써 형성될 수 있다.
본 명세서에서 사용되는 용어 "별도의(discrete)" 구조물이란, 서로 절연되어 있고 서로 오버립하지 않는 구조물을 언급하는 것이다.
본 명세서에서 사용되는 용어 "강자성 물질"은 외부 자기장을 인가함으로써 자화될 수 있고, 외부 자기장이 제거된 후에도 잔존 자성을 나타내는 임의의 물질을 언급하는 것이다.
상기 언급한 바와 같이, 본 발명은 수직형 전도성 구조물을 형성하기 위해 전기도금 동안에 전기도금 전류를 인가하기 위하여, 집적 회로의 기존의 소자들인 별도의 금속 접촉 패드 및 금속 비아 구조물을 이용한다. 결과의 수직형 전도성 구조물은 바람직하게, 상이한 전도성 물질의 합금(즉, 금속, 전도성 폴리며, 또는 도핑된 반도체) 또는 상이한 전도성 물질의 교대 층을 포함하지만, 필수적인 것은 아니다. 본 발명은 상이한 물질 혼합물의 교대 강자성 층을 포함하는 강자성 구조물을 형성하는데 특히 유용하다. 그러나, 본 발명의 애플리케이션은 강자성 구조물로 한정되는 것은 아니며, 수직형 전도성 구조물을 요구하는 임의의 장치 구조물에 적용되도록 그 범위가 널리 확장된다.
도 3은 수직형 전도성 구조물(305)을 갖는 2개의 일반적인 전자 장치를 포함 하는 장치 구조물의 단면도를 보여준다. 전자 장치 각각은, (1) 수직형 기능 유닛, 즉 수직형 전도성 구조물(305), (2) 기능 유닛(305)의 양단에 있는 전도성 접촉부(302 및 304), (3) 하단 전도성 접촉부(302)로의 액세스를 제공하기 위해 하단 전도성 접촉부(302)까지 확장되는 금속 비아(303), 및 (4) 특정 보조 소자 및 연관 회로(306)를 포함할 수 있다. 보조 소자 및 회로(306)는 기능 유닛(305)의 판독 및 기록 소자 또는 기능 유닛(305)의 다른 감지 및 제어 소자일 수 있다.
ILD 층(301)이 그 위에 위치되는 비 전도성 기판(300) 위에, 상술된 바와 같은 장치 구조물이 형성된다. 비 전도성 기판(300)은 임의의 비 전도성 물질을 포함할 수 있으며, 바람직하게는 Al2O3, SiO2, Si3N4, 및 HfO2를 포함하여, 세라믹, 유전체, 유리, 또는 폴리머 물질을 포함하지만, 이들로 한정되는 것은 아니다. 또한, 비 전도성 기판(300)은 다른 III-V 또는 II-VI 화합물 반도체뿐만 아니라 Si, SiC, SiGe, SiGeC, Ge합금, GaAs, InAs, InP를 포함하여, 도핑되지 않은 반도체 물질 또는 낮은 농도로 도핑된 반도체 물질을 포함할 수 있지만, 이들로 한정되는 것은 아니다. ILD 층(301)은 예컨대 SiO2, Si3N4, HfO2, 및 Al2O3와 같은 임의의 적합한 포토레지스트 또는 유전체 물질로 형성될 수 있다.
도 3의 장치 구조물은 본 발명의 일 실시예에 따라, 도 4 내지 도 10에 도시된 바와 같은 예시적 공정 단계들에 의해 형성될 수 있다.
도 4는 비 전도성 기판(300), ILD 층(301), 하부 금속 접촉부(302), 금속 비아(303), 및 보조 소자(306)(선택사항)를 포함하는 템플릿 구조물을 보여준다. 이 와 같은 템플릿 구조물은, 본 발명을 모호하게 하는 것을 피하기 위하여 본 명세서에 기술되지 않은 종래의 반도체 공정 및 금속배선 기술에 의해 용이하게 형성될 수 있다.
다음으로, 도 5에 도시된 바와 같이, 패터닝된 금속 층(404)이 ILD 층(301)의 최상부 표면 위에 증착된다. 패터닝된 금속 층(404)은 모든 금속 비아(303)와의 전기적 접촉을 형성하고, 이와 같은 금속 비아(303)를 통해, 패터닝된 금속 층(404)은 모든 하부 금속 접촉부(302)와의 전기적 접촉을 형성한다. 패터닝된 금속 층(404)은 우선 ILD 층(301)의 전체 최상부 표면 위에 블랭킷 금속 층(도시 생략)을 증착시키고, 후속하여 복수의 개구부(405)를 형성하기 위해 블랭킷 금속 층(도시 생략)을 패터닝함으로써 용이하게 형성될 수 있다. 패터닝된 금속 층(404)의 개구부(405) 각각은 하부 금속 접촉부(302)들 중 하나와 수직으로 정렬됨으로써, 수직형 전도성 구조물(305)이 형성될 위치들을 정의한다. 블랭킷 금속 층(도시 생략)의 패터닝은 리소그래피, 에칭 백(etch back), 리프트 오프(lift-off) 등과 같은 임의의 종래의 금속 패터닝 기술을 이용함으로써 용이하게 수행될 수 있다.
그 다음, 도 6에 도시된 바와 같이, 가장자리 영역(407)을 제외한 전체 층을 피복하기 위해 패터닝된 금속 층(404) 위에 절연 물질 층(406)이 증착된다. 절연 물질 층(406)은 ILD 층(301)과 동일한 절연 물질로 형성될 수 있지만, 일반적으로 상이한 절연 물질로 형성된다. 반도체 제조 공정에서, 많은 장치들이 동일한 웨이퍼 상에 동시에 제조된다. 따라서, 가장자리 영역(407)은 웨이퍼의 가장자리 영역을 나타낸다. 동일한 방식으로, 절연 금속 층(406)의 형성 후에도 패터닝된 금속 층(404)은 여전히 가장자리 영역(407)에서 액세스될 수 있으며, 패터닝된 금속 층(404)은 차례로 금속 비아(303)를 통해 하부 금속 접촉부(302)로의 액세스를 제공한다.
이어서 도 7에 도시된 바와 같이, 절연 층(406) 및 ILD 층(301)을 통과하는 수직형 비아(또는 깊은 비아)(408)를 형성하기 위해 패터닝 공정(바람직하게, 반응 이온 에칭과 같은 에칭 공정)이 수행된다. 수직형 비아(408)의 치수 및 모양은 형성될 수직형 전도성 구조물(305)의 치수 및 모양을 정의한다. 수직형 비아(408)는 최종적인 장치에 대한 요구조건 및 제조 공정에서의 제약에 의존하여, 임의의 크기 또는 모양일 수 있다. 바람직하게, 그와 같이 형성된 수직형 비아(408) 각각은 약 1마이크론 내지 약 1000마이크론 범위의 깊이 및 약 10nm 내지 약 1000nm 범위의 단면 직경을 갖는다. 수직형 비아(408)는 원형, 정사각형, 직사각형, 삼각형, 다각형, 반원형, 타원형, 링형 등을 포함하는 임의의 적합한 단면 모양을 가질 수 있지만, 이들로 한정되는 것은 아니다. 절연 층(406) 및 ILD 층(301)이 동일한 또는 상이한 절연 물질(들)을 포함하는지 여부에 따라, 에칭 공정은 단일 에칭 단계 또는 복수의 에칭 단계들을 포함할 수 있다.
에칭 후에, 우선 상술된 구조물을 전기도금액(electroplating solution)에 침전시킨(immersing) 다음, 가장자리 영역(407)에 접촉함으로써 패터닝된 금속 층(404)에 전기도금 전류를 인가함으로써 전기도금이 수행된다. 이러한 방식으로, 전도성 물질(305)이 별도의 금속 접촉 패드(302) 상에 증착되고, 상향식 방식으로 수직형 비아(408)를 단계적으로 충전하도록, 전기도금 전류는 패터닝된 금속 층(404) 및 금속 비아(303)를 통해 ILD 층(301)의 하부 표면에 있는 별도의 금속 접촉 패드(302)까지 전달된다. 상술된 바와 같은 전기도금액은 단일 소자의 증착을 위해 단일 염류(salt)를 포함하거나 또는 합금의 증착을 위해 상이한 원소들의 염류를 포함할 수 있다. 결과 구조물(305)은 금속, 도핑된 반도체, 전도성 폴리머, 및 이들의 합금과 같은 임의의 적합한 전도성 물질을 포함할 수 있지만, 금속 또는 금속 합금을 포함하는 것이 선호된다. 금속, 도핑된 반도체, 및 전도성 폴리머의 전착은 종래 기술에 잘 알려져 있으며, 따라서 본 명세서에는 상세히 기술하지 않겠다.
바람직하게, 강자성 금속 합금의 증착을 위해 전기도금액은 2 이상의 상이한 강자성 금속의 염류를 포함하지만 필수적인 것은 아니다. 더 바람직하게, 상이한 물질의 혼합물의 교대 강자성 층의 증착을 위해 고전위 및 저전위 펄스로 펄스화된 전기도금 전류를 인가함으로써 전기도금이 수행될 수 있다.
도 8에 도시된 바와 같이, 수직형 전도성 구조물(305)을 형성하기 위해 수직형 비아(408)가 금속으로 완전히 충전될 때까지 전기도금 단계의 진행이 허용된다. 수직형 전도성 구조물(305)의 치수 및 모양은 수직형 비아(408)에 의해 정의된다. 따라서, 수직형 전도성 구조물(305) 또한, 약 1마이크론 내지 약 1000마이크론 범위의 깊이 및 약 10nm 내지 약 1000nm 범위의 단면 직경을 가질 수 있고, 또한 원형, 정사각형, 직사각형, 삼각형, 다각형, 반원형, 타원형, 링형 등을 포함하는 임의의 적합한 단면 모양을 가질 수 있지만, 이들로 한정되는 것은 아니다.
전기도금 후에, 도 9에 도시된 바와 같이, 수직형 전도성 구조물(305), 절연 층(406), 및 패터닝된 금속 층(404)의 과도 성장된 부분들을 제거하고, 금속 비아(303) 및 새롭게 형성된 수직형 전도성 구조물(305)과 함께 ILD 층(301)의 재노출된 상부 표면을 평탄화하기 위해 복수의 에칭 및/또는 연마(polishing) 단계들이 수행될 수 있다.
다음으로, 도 10에 도시된 바와 같이, 패터닝된 절연 층(410)이 ILD 층(301)의 노출된 상부 표면 위에 증착된다. 패터닝된 절연 층(410)은 ILD 층(301)의 물질과 동일하거나 또는 상이한 물질을 포함할 수 있고, 복수의 개구부(411)를 포함하는데, 이들 개구부를 통해 수직형 전도성 구조물(305) 및 금속 비아(303)가 노출된다. 이러한 방식으로, 수직형 전도성 구조물(305) 및 금속 비아(303)로의 액세스를 제공하기 위하여 표면 금속 접촉부(304)가 개구부(411)에 형성됨으로써, 도 3에 도시된 바와 같은 완성된 장치 구조물을 형성할 수 있다.
주목할 것은, 도 3 내지 도 10이 본 발명의 특정 실시예들에 따른 예시적 장치 구조물 및 공정 단계들을 도시적으로 설명하고 있지만, 당업자는 특정 애플리케이션 요구조건들에 대한 적응을 위해 이와 같은 장치 구조물 및 공정 단계들을 상술한 설명과 부합되도록 용이하게 변경할 수 있다는 것은 명백하다는 것이다. 예를 들어, 도 3 내지 도 10에 도시된 바와 같은 예시적 장치 구조물들 각각이 단일 ILD 층, 2개의 별도의 금속 접촉 패드, 및 2개의 수직형 전도성 구조물을 포함하지만, 본 발명의 장치 구조물은 임의의 수의 ILD 층, 별도의 금속 접촉 패드, 및 수직형 전도성 구조물을 포함할 수 있다는 것이 용이하게 이해된다. 또한, 본 발명의 장치 기판들은 적어도 하나의 하부 금속 접촉부를 갖는 수직형 전도성 구조물을 요구하 는 임의의 반도체 장치를 형성하는데 용이하게 이용될 수 있다.
본 발명은 특정 실시예들, 특징 요소들, 및 양태들을 참조하여 기술되었지만, 본 발명은 이들로 한정되지 않으며, 오히려 다른 조정, 변경, 용례, 및 실시예들에 대한 유용으로 확장된다는 것이 인식될 것이며, 이에 따라, 모든 이와 같은 다른 조정, 변경, 용례 및 실시예는 본 발명의 정신 및 범위 내에 속하는 것으로 간주된다.

Claims (16)

  1. 방법에 있어서,
    기판, 상기 기판의 최상부(top) 표면 위에 위치된 별도의 금속 접촉 패드, 상기 기판 및 상기 금속 접촉 패드 모두를 피복하는 레벨간 유전체(ILD, inter-level dielectric) 층, 상기 ILD 층의 최상부 표면으로부터 상기 ILD 층을 통해 상기 별도의 금속 접촉 패드까지 확장되는 금속 비아 구조물, 및 상기 ILD 층의 상부 표면 상에 위치되어 있고 상기 금속 비아 구조물에 전기적으로 접속되어 있는 패터닝된 금속 층을 포함하는 템플릿 구조물을 형성하고,
    상기 금속 비아 구조물을 형성한 후에 상기 템플릿 구조물에 수직형 비아를 형성하며―상기 수직형 비아는 적어도 상기 ILD 층의 최상부 표면으로부터 상기 ILD 층을 통해 상기 별도의 금속 접촉 패드까지 확장되고, 상기 별도의 금속 접촉 패드의 표면은 상기 수직형 비아의 최하부(bottom)에서 노출됨―,
    상기 금속 비아 구조물을 통해 상기 별도의 금속 접촉 패드에 전기도금 전류를 인가함으로써 수행되는 전기도금에 의해 상기 수직형 비아에 수직형 전도성 구조물을 형성하고,
    상기 수직형 비아의 형성 전에 상기 패터닝된 금속 층 위에 절연 층을 형성하는―상기 패터닝된 금속 층은 상기 절연 층에 의해 가장자리(edge) 영역을 제외하고 완전히(completely) 피복되고, 상기 전기도금 동안에 상기 가장자리 영역을 통해 상기 패터닝된 금속 층에 상기 전기도금 전류가 인가되며, 상기 후속 형성된 수직형 비아는 상기 절연 층 및 상기 ILD 층 모두를 통해 확장됨―것
    을 포함하는 방법.
  2. 제1항에 있어서, 상기 수직형 전도성 구조물은 하나 이상의 강자성 물질들을 포함하는 것인, 방법.
  3. 청구항 3은(는) 설정등록료 납부시 포기되었습니다.
    제2항에 있어서, 상기 수직형 전도성 구조물은 강자성 물질들과 상이한 교대(alternating) 층들을 포함하는 것인, 방법.
  4. 제1항에 있어서, 상기 템플릿 구조물은 복수의 별도의 금속 접촉 패드들을 포함하고, 상기 복수의 별도의 금속 접촉 패드들 각각에는 금속 비아 구조물이 확장되어 있으며, 전기도금에 의한 복수의 수직형 전도성 구조물들의 후속 형성을 위해 상기 ILD 층에 상기 복수의 수직형 비아들이 형성되는 것인 방법.
  5. 청구항 5은(는) 설정등록료 납부시 포기되었습니다.
    제4항에 있어서, 상기 전기도금 동안에, 상기 패터닝된 금속 층 및 상기 금속 비아 구조물들을 통해 상기 전기도금 전류가 상기 복수의 별도의 금속 접촉 패드들에 인가될 수 있도록, 상기 템플릿 구조물은, 상기 ILD 층의 상부 표면 상에 위치되어 있고 상기 금속 비아 구조물들 모두에 전기적으로 접속되어 있는 패터닝된 금속 층을 더 포함하는 것인 방법.
  6. 청구항 6은(는) 설정등록료 납부시 포기되었습니다.
    제5항에 있어서, 상기 복수의 수직형 비아들의 형성 전에,
    상기 패터닝된 금속 층은,
    상기 ILD 층의 상기 상부 표면 위에 블랭킷(blanket) 금속 층을 증착하고,
    각각이 상기 복수의 별도의 금속 접촉 패드들 중 하나와 수직으로 정렬되는 복수의 개구부들을 형성하기 위해 상기 블랭킷 금속 층을 패터닝함으로써 형성되는 것인, 방법.
  7. 제1항에 있어서, 상기 전기도금 및 과도성장된 도금된 물질의 제거 후에 상기 ILD 층의 상부 표면으로부터 상기 패터닝된 금속 층 및 상기 절연 층 모두를 제거하는 것을 더 포함하는 방법.
  8. 청구항 8은(는) 설정등록료 납부시 포기되었습니다.
    제7항에 있어서, 상기 수직형 전도성 구조물로의 액세스를 제공하기 위해 상기 패터닝된 금속 층 및 상기 절연 층의 제거 후에 상기 ILD 층의 상부 표면 위에 복수의 표면 금속 접촉부들을 형성하는 것을 더 포함하는 방법.
  9. 방법에 있어서,
    기판, 상기 기판의 최상부 표면 위에 위치된 별도의 금속 접촉 패드, 상기 기판 및 상기 금속 접촉 패드 모두를 피복하는 레벨간 유전체(ILD) 층, 및 상기 ILD 층을 통해 상기 별도의 금속 접촉 패드까지 확장되는 금속 비아 구조물을 포함하는 템플릿 구조물을 형성하고,
    상기 ILD 층을 통해 상기 별도의 금속 접촉 패드까지 확장되는 수직형 비아를 상기 템플릿 구조물에 형성하며,
    상기 금속 비아 구조물을 통해 상기 별도의 금속 접촉 패드에 전기도금 전류를 인가함으로써 수행되는 전기도금에 의해 상기 수직형 비아에 수직형 전도성 구조물을 형성하고―상기 템플릿 구조물은 각각에 금속 비아 구조물이 확장되어 있는 복수의 별도의 금속 접촉 패드들을 포함하고, 전기도금에 의한 복수의 수직형 전도성 구조물들의 후속 형성을 위해 상기 복수의 수직형 비아들이 상기 ILD 층에 형성되며, 상기 템플릿 구조물은 상기 ILD 층의 상부 표면 상에 위치되어 있고 상기 금속 비아 구조물들 모두에 전기적으로 접속되어 있는 패터닝된 금속 층을 더 포함하고, 상기 전기도금 동안에 상기 패터닝된 금속 층 및 상기 금속 비아 구조물들을 통해 상기 전기도금 전류가 상기 복수의 별도의 금속 접촉 패드들에 인가될 수 있고, 상기 복수의 수직형 비아들의 형성 전에 상기 ILD 층의 상기 상부 표면 위에 블랭킷 금속 층을 증착하고 각각이 상기 복수의 별도의 금속 접촉 패드들 중 하나와 수직으로 정렬되는 복수의 개구부들을 형성하기 위해 상기 블랭킷 금속 층을 패터닝함으로써 상기 패터닝된 금속 층이 형성됨―,
    상기 수직형 비아의 형성 전에 상기 패터닝된 금속 층 위에 절연 층을 형성하는―상기 패터닝된 금속 층은 상기 절연 층에 의해 가장자리 영역을 제외하고 완전히 피복되고, 상기 전기도금 동안에 상기 가장자리 영역을 통해 상기 패터닝된 금속 층에 상기 전기도금 전류가 인가되며, 상기 후속 형성된 금속 비아는 상기 절연 층 및 상기 ILD 층 모두를 통해 확장됨―것
    을 포함하는 방법.
  10. 제9항에 있어서, 상기 전기도금 및 과도성장된 도금된 물질의 제거 후에 상기 ILD 층의 상부 표면으로부터 상기 패터닝된 금속 층 및 상기 절연 층 모두를 제거하는 것을 더 포함하는 방법.
  11. 청구항 11은(는) 설정등록료 납부시 포기되었습니다.
    제10항에 있어서, 상기 수직형 전도성 구조물로의 액세스를 제공하기 위해 상기 패터닝된 금속 층 및 상기 절연 층의 제거 후에 상기 ILD 층의 상부 표면 위에 복수의 표면 금속 접촉부들을 형성하는 것을 더 포함하는 방법.
  12. 방법에 있어서,
    기판, 상기 기판의 최상부 표면 위에 위치된 별도의 금속 접촉 패드, 상기 기판 및 상기 금속 접촉 패드 모두를 피복하는 레벨간 유전체(ILD) 층, 상기 ILD 층의 최상부 표면으로부터 상기 ILD 층을 통해 상기 별도의 금속 접촉 패드까지 확장되고 상기 별도의 금속 접촉 패드의 최상부 표면에 직접적으로 접촉하는 금속 비아 구조물, 및 상기 ILD 층의 상부 표면 상에 위치되어 있고 상기 금속 비아 구조물에 전기적으로 접속되어 있는 패터닝된 금속 층을 포함하는 템플릿 구조물을 형성하고,
    상기 금속 비아 구조물을 형성한 후에 상기 템플릿 구조물에 수직형 비아를 형성하며―상기 수직형 비아는 적어도 상기 ILD 층의 최상부 표면으로부터 상기 ILD 층을 통해 상기 별도의 금속 접촉 패드까지 확장됨―,
    상기 금속 비아 구조물을 통해 상기 별도의 금속 접촉 패드에 전기도금 전류를 인가함으로써 수행되는 전기도금에 의해 상기 수직형 비아에 수직형 전도성 구조물을 형성하고,
    상기 수직형 비아의 형성 전에 상기 패터닝된 금속 층 위에 절연 층을 형성하며,
    상기 전기도금 및 과도성장된 도금된 물질의 제거 후에 상기 ILD 층의 상부 표면으로부터 상기 패터닝된 금속 층 및 상기 절연 층 모두를 제거하는 것
    을 포함하는 방법.
  13. 제12항에 있어서, 상기 별도의 금속 접촉 패드의 표면은 상기 수직형 비아의 형성 후에 상기 수직형 비아의 최하부(bottom)에서 노출되는 것인, 방법.
  14. 제12항에 있어서, 상기 패터닝된 금속 층은 상기 절연 층에 의해 가장자리 영역을 제외하고 완전히 피복되며, 상기 전기도금 동안에 상기 가장자리 영역 통해 상기 패터닝된 금속 층에 상기 전기도금 전류가 인가되는 것인, 방법.
  15. 청구항 15은(는) 설정등록료 납부시 포기되었습니다.
    제12항에 있어서, 상기 후속 형성된 수직형 비아는 상기 절연 층 및 상기 ILD 층 모두를 통해 확장되는 것인, 방법.
  16. 제12항에 있어서, 상기 수직형 전도성 구조물로의 액세스를 제공하기 위해 상기 패터닝된 금속 층 및 상기 절연 층의 제거 후에 상기 ILD 층의 상부 표면 위에 복수의 표면 금속 접촉부들을 형성하는 것을 더 포함하는 방법.
KR1020097011935A 2007-01-05 2008-01-02 전기도금에 의한 수직형 장치의 형성 KR101054841B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/620,497 2007-01-05
US11/620,497 US7608538B2 (en) 2007-01-05 2007-01-05 Formation of vertical devices by electroplating
PCT/US2008/000003 WO2008085805A1 (en) 2007-01-05 2008-01-02 Formation of vertical devices by electroplating

Publications (2)

Publication Number Publication Date
KR20090096453A KR20090096453A (ko) 2009-09-10
KR101054841B1 true KR101054841B1 (ko) 2011-08-05

Family

ID=39594671

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097011935A KR101054841B1 (ko) 2007-01-05 2008-01-02 전기도금에 의한 수직형 장치의 형성

Country Status (6)

Country Link
US (2) US7608538B2 (ko)
EP (1) EP2100319B1 (ko)
JP (1) JP5284981B2 (ko)
KR (1) KR101054841B1 (ko)
CN (1) CN101652826B (ko)
WO (1) WO2008085805A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7741218B2 (en) * 2007-02-27 2010-06-22 Freescale Semiconductor, Inc. Conductive via formation utilizing electroplating
US7768809B2 (en) * 2008-10-02 2010-08-03 International Business Machines Corporation Wall nucleation propagation for racetrack memory
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
JP2012190900A (ja) * 2011-03-09 2012-10-04 Sony Corp 半導体装置及びその製造方法
US9153483B2 (en) 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9899324B1 (en) * 2016-11-28 2018-02-20 Globalfoundries Inc. Structure and method of conductive bus bar for resistive seed substrate plating
US11101175B2 (en) * 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US20220026705A1 (en) * 2018-11-26 2022-01-27 Corning Incorporated Methods for forming patterned insulating layers on conductive layers and devices manufactured using such methods
KR102546286B1 (ko) 2019-11-08 2023-06-22 씨제이제일제당 (주) 눌은 식감을 갖는 전자레인지 조리용 냉동 포장밥
KR20220053293A (ko) * 2020-10-22 2022-04-29 에스케이하이닉스 주식회사 테스트 더미 패턴을 갖는 반도체 장치, 그것의 제조방법 및 테스트 더미 패턴을 이용한 불량 검사 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6709562B1 (en) * 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips
US20040251232A1 (en) 2003-06-10 2004-12-16 International Business Machines Corporation Method of fabricating a shiftable magnetic shift register

Family Cites Families (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3791858A (en) * 1971-12-13 1974-02-12 Ibm Method of forming multi-layer circuit panels
US3996551A (en) * 1975-10-20 1976-12-07 The United States Of America As Represented By The Secretary Of The Navy Chromium-silicon oxide thin film resistors
JPH02220464A (ja) * 1989-02-22 1990-09-03 Toshiba Corp 半導体装置及びその製造方法
US4933743A (en) * 1989-03-11 1990-06-12 Fairchild Semiconductor Corporation High performance interconnect system for an integrated circuit
US4962058A (en) * 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
US5173442A (en) * 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
US5191174A (en) * 1990-08-01 1993-03-02 International Business Machines Corporation High density circuit board and method of making same
US5254493A (en) * 1990-10-30 1993-10-19 Microelectronics And Computer Technology Corporation Method of fabricating integrated resistors in high density substrates
US5149615A (en) * 1991-01-08 1992-09-22 The Boeing Company Method for producing a planar surface on which a conductive layer can be applied
CA2059020C (en) * 1991-01-09 1998-08-18 Kohji Kimbara Polyimide multilayer wiring board and method of producing same
US5440805A (en) * 1992-03-09 1995-08-15 Rogers Corporation Method of manufacturing a multilayer circuit
US5284801A (en) * 1992-07-22 1994-02-08 Vlsi Technology, Inc. Methods of moisture protection in semiconductor devices utilizing polyimides for inter-metal dielectric
US5508938A (en) * 1992-08-13 1996-04-16 Fujitsu Limited Special interconnect layer employing offset trace layout for advanced multi-chip module packages
US5329695A (en) * 1992-09-01 1994-07-19 Rogers Corporation Method of manufacturing a multilayer circuit board
US5386627A (en) * 1992-09-29 1995-02-07 International Business Machines Corporation Method of fabricating a multi-layer integrated circuit chip interposer
US5453402A (en) * 1992-12-15 1995-09-26 Advanced Micro Devices, Inc. Selective metal via plug growth technology for deep sub-micrometer ULSI
US5382759A (en) * 1993-09-28 1995-01-17 Trw Inc. Massive parallel interconnection attachment using flexible circuit
US5427979A (en) * 1993-10-18 1995-06-27 Vlsi Technology, Inc. Method for making multi-level antifuse structure
JPH08139194A (ja) * 1994-04-28 1996-05-31 Texas Instr Inc <Ti> 半導体デバイス上に電気接続を作製する方法および該方法により作製された電気接続を有する半導体デバイス
US5489547A (en) * 1994-05-23 1996-02-06 Texas Instruments Incorporated Method of fabricating semiconductor device having polysilicon resistor with low temperature coefficient
US5413962A (en) * 1994-07-15 1995-05-09 United Microelectronics Corporation Multi-level conductor process in VLSI fabrication utilizing an air bridge
US5625232A (en) * 1994-07-15 1997-04-29 Texas Instruments Incorporated Reliability of metal leads in high speed LSI semiconductors using dummy vias
US5550399A (en) * 1994-11-03 1996-08-27 Kabushiki Kaisha Toshiba Integrated circuit with windowed fuse element and contact pad
DE4441898C1 (de) * 1994-11-24 1996-04-04 Siemens Ag Verfahren zur Herstellung eines Halbleiterbauelementes
US5563762A (en) * 1994-11-28 1996-10-08 Northern Telecom Limited Capacitor for an integrated circuit and method of formation thereof, and a method of adding on-chip capacitors to an integrated circuit
US5576240A (en) * 1994-12-09 1996-11-19 Lucent Technologies Inc. Method for making a metal to metal capacitor
US5708559A (en) * 1995-10-27 1998-01-13 International Business Machines Corporation Precision analog metal-metal capacitor
US5900668A (en) * 1995-11-30 1999-05-04 Advanced Micro Devices, Inc. Low capacitance interconnection
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6057224A (en) * 1996-03-29 2000-05-02 Vlsi Technology, Inc. Methods for making semiconductor devices having air dielectric interconnect structures
US5841193A (en) * 1996-05-20 1998-11-24 Epic Technologies, Inc. Single chip modules, repairable multichip modules, and methods of fabrication thereof
US5792706A (en) * 1996-06-05 1998-08-11 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to reduce permitivity
US5874770A (en) * 1996-10-10 1999-02-23 General Electric Company Flexible interconnect film including resistor and capacitor layers
JPH10303372A (ja) * 1997-01-31 1998-11-13 Sanyo Electric Co Ltd 半導体集積回路およびその製造方法
US6277728B1 (en) * 1997-06-13 2001-08-21 Micron Technology, Inc. Multilevel interconnect structure with low-k dielectric and method of fabricating the structure
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
JPH11195711A (ja) * 1997-10-27 1999-07-21 Seiko Epson Corp 半導体装置およびその製造方法
EP1042793A1 (de) * 1997-12-16 2000-10-11 Infineon Technologies AG Barriereschicht für kupfermetallisierung
US6081021A (en) * 1998-01-15 2000-06-27 International Business Machines Corporation Conductor-insulator-conductor structure
US6016005A (en) * 1998-02-09 2000-01-18 Cellarosi; Mario J. Multilayer, high density micro circuit module and method of manufacturing same
US6081032A (en) * 1998-02-13 2000-06-27 Texas Instruments - Acer Incorporated Dual damascene multi-level metallization and interconnection structure
US6121073A (en) * 1998-02-17 2000-09-19 Taiwan Semiconductor Manufacturing Company Method for making a fuse structure for improved repaired yields on semiconductor memory devices
US6124198A (en) * 1998-04-22 2000-09-26 Cvc, Inc. Ultra high-speed chip interconnect using free-space dielectrics
US6239491B1 (en) * 1998-05-18 2001-05-29 Lsi Logic Corporation Integrated circuit structure with thin dielectric between at least local interconnect level and first metal interconnect level, and process for making same
KR100635685B1 (ko) * 1998-05-25 2006-10-17 가부시키가이샤 히타치세이사쿠쇼 반도체장치 및 그 제조방법
US6921962B1 (en) * 1998-12-18 2005-07-26 Texas Instruments Incorporated Integrated circuit having a thin film resistor located within a multilevel dielectric between an upper and lower metal interconnect layer
US6194233B1 (en) * 1998-08-21 2001-02-27 International Business Machines Corporation Integrated circuit and method of manufacture for avoiding damage by electrostatic charge
US6100155A (en) * 1998-09-10 2000-08-08 Chartered Semiconductor Manufacturing, Ltd. Metal-oxide-metal capacitor for analog devices
US6225207B1 (en) * 1998-10-01 2001-05-01 Applied Materials, Inc. Techniques for triple and quadruple damascene fabrication
US6066557A (en) * 1998-12-09 2000-05-23 Advanced Micro Devices, Inc. Method for fabricating protected copper metallization
US6495442B1 (en) * 2000-10-18 2002-12-17 Magic Corporation Post passivation interconnection schemes on top of the IC chips
US6303423B1 (en) * 1998-12-21 2001-10-16 Megic Corporation Method for forming high performance system-on-chip using post passivation process
US6268276B1 (en) * 1998-12-21 2001-07-31 Chartered Semiconductor Manufacturing Ltd. Area array air gap structure for intermetal dielectric application
TW430943B (en) * 1999-01-08 2001-04-21 Nippon Electric Co Method of forming contact or wiring in semiconductor device
US6200629B1 (en) * 1999-01-12 2001-03-13 United Microelectronics Corp. Method of manufacturing multi-layer metal capacitor
US6207553B1 (en) * 1999-01-26 2001-03-27 Advanced Micro Devices, Inc. Method of forming multiple levels of patterned metallization
US6180976B1 (en) * 1999-02-02 2001-01-30 Conexant Systems, Inc. Thin-film capacitors and methods for forming the same
US6667552B1 (en) * 1999-02-18 2003-12-23 Advanced Micro Devices, Inc. Low dielectric metal silicide lined interconnection system
US6245658B1 (en) * 1999-02-18 2001-06-12 Advanced Micro Devices, Inc. Method of forming low dielectric semiconductor device with rigid, metal silicide lined interconnection system
US6556962B1 (en) * 1999-07-02 2003-04-29 Intel Corporation Method for reducing network costs and its application to domino circuits
US6413854B1 (en) * 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
JP5254514B2 (ja) * 1999-09-10 2013-08-07 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 減少した電磁切換え磁場を持つ磁気抵抗検知器又は記憶素子
US6525921B1 (en) * 1999-11-12 2003-02-25 Matsushita Electric Industrial Co., Ltd Capacitor-mounted metal foil and a method for producing the same, and a circuit board and a method for producing the same
TW512653B (en) * 1999-11-26 2002-12-01 Ibiden Co Ltd Multilayer circuit board and semiconductor device
JP3967544B2 (ja) * 1999-12-14 2007-08-29 株式会社東芝 Mimキャパシタ
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6492257B1 (en) * 2000-02-04 2002-12-10 Advanced Micro Devices, Inc. Water vapor plasma for effective low-k dielectric resist stripping
US7335603B2 (en) * 2000-02-07 2008-02-26 Vladimir Mancevski System and method for fabricating logic devices comprising carbon nanotube transistors
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US7771630B2 (en) * 2000-02-24 2010-08-10 The Regents Of The University Of California Precise fabrication of polymer microlens arrays
DE10008573A1 (de) * 2000-02-24 2001-09-13 Infineon Technologies Ag Halbleiterbauelement und Herstellungsverfahren
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
JP2002009248A (ja) * 2000-06-26 2002-01-11 Oki Electric Ind Co Ltd キャパシタおよびその製造方法
US6501180B1 (en) * 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
JP2002050011A (ja) * 2000-08-03 2002-02-15 Nec Corp 磁気抵抗効果素子、磁気抵抗効果ヘッド、磁気抵抗変換システム及び磁気記録システム
US6313003B1 (en) * 2000-08-17 2001-11-06 Taiwan Semiconductor Manufacturing Company Fabrication process for metal-insulator-metal capacitor with low gate resistance
US6500724B1 (en) * 2000-08-21 2002-12-31 Motorola, Inc. Method of making semiconductor device having passive elements including forming capacitor electrode and resistor from same layer of material
US6365480B1 (en) * 2000-11-27 2002-04-02 Analog Devices, Inc. IC resistor and capacitor fabrication method
US6426268B1 (en) * 2000-11-28 2002-07-30 Analog Devices, Inc. Thin film resistor fabrication method
US6696360B2 (en) * 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
US6465294B1 (en) * 2001-03-16 2002-10-15 Taiwan Semiconductor Manufacturing Company Self-aligned process for a stacked gate RF MOSFET device
US6468894B1 (en) * 2001-03-21 2002-10-22 Advanced Micro Devices, Inc. Metal interconnection structure with dummy vias
US6984892B2 (en) * 2001-03-28 2006-01-10 Lam Research Corporation Semiconductor structure implementing low-K dielectric materials and supporting stubs
US6605525B2 (en) * 2001-05-01 2003-08-12 Industrial Technologies Research Institute Method for forming a wafer level package incorporating a multiplicity of elastomeric blocks and package formed
US6674664B2 (en) * 2001-05-07 2004-01-06 Nve Corporation Circuit selected joint magnetoresistive junction tunneling-giant magnetoresistive effects memory cells
JP2002368196A (ja) * 2001-05-30 2002-12-20 Internatl Business Mach Corp <Ibm> メモリセル、記憶回路ブロック、データの書き込み方法及びデータの読み出し方法
JP4947849B2 (ja) * 2001-05-30 2012-06-06 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6566171B1 (en) * 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930256B1 (en) * 2002-05-01 2005-08-16 Amkor Technology, Inc. Integrated circuit substrate having laser-embedded conductive patterns and method therefor
JP4604403B2 (ja) * 2001-06-25 2011-01-05 パナソニック株式会社 固体電解コンデンサの製造方法
US20030073302A1 (en) * 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
FR2832224B1 (fr) * 2001-11-15 2004-01-16 Commissariat Energie Atomique Dispositif electronique monolithique multicouches et procede de realisation d'un tel dispositif
TW544882B (en) * 2001-12-31 2003-08-01 Megic Corp Chip package structure and process thereof
US6881999B2 (en) * 2002-03-21 2005-04-19 Samsung Electronics Co., Ltd. Semiconductor device with analog capacitor and method of fabricating the same
JP4047615B2 (ja) * 2002-04-03 2008-02-13 株式会社ルネサステクノロジ 磁気記憶装置
JP2003318269A (ja) * 2002-04-24 2003-11-07 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7260890B2 (en) * 2002-06-26 2007-08-28 Georgia Tech Research Corporation Methods for fabricating three-dimensional all organic interconnect structures
JP2004079936A (ja) * 2002-08-22 2004-03-11 Fujitsu Ltd 強磁性トンネル接合を有する積層膜、その製造方法、磁気センサ、磁気記録装置、及び、磁気メモリ装置
JP3983146B2 (ja) * 2002-09-17 2007-09-26 Necエレクトロニクス株式会社 多層配線基板の製造方法
US6919637B2 (en) * 2002-09-30 2005-07-19 Intel Corporation Interconnect structure for an integrated circuit and method of fabrication
US6784478B2 (en) * 2002-09-30 2004-08-31 Agere Systems Inc. Junction capacitor structure and fabrication method therefor in a dual damascene process
US6902981B2 (en) * 2002-10-10 2005-06-07 Chartered Semiconductor Manufacturing Ltd Structure and process for a capacitor and other devices
US6998327B2 (en) * 2002-11-19 2006-02-14 International Business Machines Corporation Thin film transfer join process and multilevel thin film module
JP3851607B2 (ja) * 2002-11-21 2006-11-29 ローム株式会社 半導体装置の製造方法
US6972209B2 (en) * 2002-11-27 2005-12-06 International Business Machines Corporation Stacked via-stud with improved reliability in copper metallurgy
KR100505658B1 (ko) * 2002-12-11 2005-08-03 삼성전자주식회사 MIM(Metal-Insulator-Metal)커패시터를 갖는 반도체 소자
US6710443B1 (en) * 2002-12-20 2004-03-23 Texas Instruments Incorporated Integrated circuit providing thermally conductive structures substantially horizontally coupled to one another within one or more heat dissipation layers to dissipate heat from a heat generating structure
JP2004214459A (ja) * 2003-01-06 2004-07-29 Sony Corp 不揮発性磁気メモリ装置及びその製造方法
FR2851373B1 (fr) * 2003-02-18 2006-01-13 St Microelectronics Sa Procede de fabrication d'un circuit electronique integre incorporant des cavites
JP4419408B2 (ja) * 2003-03-14 2010-02-24 Tdk株式会社 磁気抵抗効果素子および磁気メモリデバイス
US6897148B2 (en) * 2003-04-09 2005-05-24 Tru-Si Technologies, Inc. Electroplating and electroless plating of conductive materials into openings, and structures obtained thereby
FR2855323A1 (fr) * 2003-05-20 2004-11-26 St Microelectronics Sa Procede de realisation d'un circuit electronique integre comprenant un condensateur
US6713835B1 (en) * 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US6806096B1 (en) * 2003-06-18 2004-10-19 Infineon Technologies Ag Integration scheme for avoiding plasma damage in MRAM technology
US6964908B2 (en) * 2003-08-19 2005-11-15 International Business Machines Corporation Metal-insulator-metal capacitor and method of fabricating same
WO2005022654A2 (en) * 2003-08-28 2005-03-10 Matsushita Electric Industrial Co.,Ltd. Semiconductor light emitting device, light emitting module, lighting apparatus, display element and manufacturing method of semiconductor light emitting device
FR2859822B1 (fr) * 2003-09-16 2006-05-05 Commissariat Energie Atomique Structure d'interconnexion a faible constante dielectrique
KR100545202B1 (ko) * 2003-10-06 2006-01-24 동부아남반도체 주식회사 캐패시터 제조 방법
US7329953B2 (en) * 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
JP2005217346A (ja) * 2004-02-02 2005-08-11 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7052932B2 (en) * 2004-02-24 2006-05-30 Chartered Semiconductor Manufacturing Ltd. Oxygen doped SiC for Cu barrier and etch stop layer in dual damascene fabrication
US6955926B2 (en) * 2004-02-25 2005-10-18 International Business Machines Corporation Method of fabricating data tracks for use in a magnetic shift register memory device
US6919244B1 (en) * 2004-03-10 2005-07-19 Motorola, Inc. Method of making a semiconductor device, and semiconductor device made thereby
JP4707330B2 (ja) * 2004-03-30 2011-06-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7148531B2 (en) * 2004-04-29 2006-12-12 Nve Corporation Magnetoresistive memory SOI cell
US7223684B2 (en) * 2004-07-14 2007-05-29 International Business Machines Corporation Dual damascene wiring and method
US20060022286A1 (en) * 2004-07-30 2006-02-02 Rainer Leuschner Ferromagnetic liner for conductive lines of magnetic memory cells
TWI253700B (en) * 2004-08-03 2006-04-21 Ind Tech Res Inst Image sensor module packaging structure and method thereof
US7397087B2 (en) * 2004-08-06 2008-07-08 International Business Machines Corporation FEOL/MEOL metal resistor for high end CMOS
US7092284B2 (en) * 2004-08-20 2006-08-15 Infineon Technologies Ag MRAM with magnetic via for storage of information and field sensor
JP2006128309A (ja) * 2004-10-27 2006-05-18 Shinko Electric Ind Co Ltd キャパシタ装置及びその製造方法
US7118925B2 (en) * 2004-12-10 2006-10-10 Texas Instruments Incorporated Fabrication of a ferromagnetic inductor core and capacitor electrode in a single photo mask step
JP4621049B2 (ja) * 2005-03-25 2011-01-26 富士通株式会社 配線基板の製造方法
KR100684893B1 (ko) * 2005-03-28 2007-02-20 삼성전자주식회사 자기 메모리 장치 및 그 제조방법
FR2884645B1 (fr) * 2005-04-19 2007-08-10 St Microelectronics Sa Procede de realisation d'un circuit integre comprenant un condensateur
FR2884646B1 (fr) * 2005-04-19 2007-09-14 St Microelectronics Sa Procede de fabrication d'un circuit integre comprenant un condensateur tridimensionnel
US7638385B2 (en) * 2005-05-02 2009-12-29 Semiconductor Components Industries, Llc Method of forming a semiconductor device and structure therefor
US7315248B2 (en) * 2005-05-13 2008-01-01 3M Innovative Properties Company Radio frequency identification tags for use on metal or other conductive objects
US7332403B1 (en) * 2005-07-11 2008-02-19 National Semiconductor Corporation System and method for providing a buried thin film resistor having end caps defined by a dielectric mask
US7416905B2 (en) * 2005-10-17 2008-08-26 International Busniess Machines Corporation Method of fabricating a magnetic shift register
DE102005052052B4 (de) * 2005-10-31 2008-02-07 Advanced Micro Devices, Inc., Sunnyvale Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
US20070121254A1 (en) * 2005-11-29 2007-05-31 Honeywell International Inc. Protective and conductive layer for giant magnetoresistance
US7687906B2 (en) * 2006-03-31 2010-03-30 Brother Kogyo Kabushiki Kaisha Connecting structure, method for forming bump, and method for producing device-mounting substrate
US7427550B2 (en) * 2006-06-29 2008-09-23 International Business Machines Corporation Methods of fabricating passive element without planarizing
US7738257B2 (en) * 2006-12-13 2010-06-15 Intel Corporation Microelectronic device including bridging interconnect to top conductive layer of passive embedded structure and method of making same
US7692274B2 (en) * 2007-01-04 2010-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Reinforced semiconductor structures
FR2914498A1 (fr) * 2007-04-02 2008-10-03 St Microelectronics Sa Realisation de condensateurs mim a 3 dimensions dans le dernier niveau de metal d'un circuit integre
US7652379B2 (en) * 2007-07-23 2010-01-26 National Semiconductor Corporation Bond pad stacks for ESD under pad and active under pad bonding
JP2009141237A (ja) * 2007-12-10 2009-06-25 Panasonic Corp 半導体装置及びその製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6709562B1 (en) * 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips
US20040251232A1 (en) 2003-06-10 2004-12-16 International Business Machines Corporation Method of fabricating a shiftable magnetic shift register

Also Published As

Publication number Publication date
CN101652826A (zh) 2010-02-17
US20080166874A1 (en) 2008-07-10
US20090294989A1 (en) 2009-12-03
US7608538B2 (en) 2009-10-27
EP2100319A4 (en) 2013-04-03
US8247905B2 (en) 2012-08-21
WO2008085805A1 (en) 2008-07-17
EP2100319A1 (en) 2009-09-16
KR20090096453A (ko) 2009-09-10
JP2010516047A (ja) 2010-05-13
CN101652826B (zh) 2013-01-02
JP5284981B2 (ja) 2013-09-11
EP2100319B1 (en) 2014-03-19

Similar Documents

Publication Publication Date Title
KR101054841B1 (ko) 전기도금에 의한 수직형 장치의 형성
DE69836313T2 (de) Verfahren zum selektiven Füllen von Gräben mit leitendem Metall
US7594322B2 (en) Methods of fabricating substrates including at least one conductive via
US6251528B1 (en) Method to plate C4 to copper stud
TW578195B (en) A single step electroplating process for interconnect via fill and metal line patterning
US6815354B2 (en) Method and structure for thru-mask contact electrodeposition
US6340633B1 (en) Method for ramped current density plating of semiconductor vias and trenches
US6071814A (en) Selective electroplating of copper for damascene process
CN104064550A (zh) 半导体器件及其制造方法
KR101055564B1 (ko) 전해 연마 공정에서 금속 리세스를 감소시키기 위한 더미구조들
KR100559041B1 (ko) 반도체 소자의 구리 배선 형성 방법
RU2230391C2 (ru) Способ изготовления самосовмещенной встроенной медной металлизации интегральных схем
TW202145482A (zh) 電路
KR100731082B1 (ko) 반도체 소자 제조 방법
KR20050056387A (ko) 반도체 소자의 금속배선 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee