KR100693612B1 - 텅스텐 및 텅스텐 질화물의 인 시튜 화학기상증착에 의해개선된 게이트 전극 결합 구조물 - Google Patents

텅스텐 및 텅스텐 질화물의 인 시튜 화학기상증착에 의해개선된 게이트 전극 결합 구조물 Download PDF

Info

Publication number
KR100693612B1
KR100693612B1 KR1020017000614A KR20017000614A KR100693612B1 KR 100693612 B1 KR100693612 B1 KR 100693612B1 KR 1020017000614 A KR1020017000614 A KR 1020017000614A KR 20017000614 A KR20017000614 A KR 20017000614A KR 100693612 B1 KR100693612 B1 KR 100693612B1
Authority
KR
South Korea
Prior art keywords
tungsten
gas
deposition
substrate
pressure
Prior art date
Application number
KR1020017000614A
Other languages
English (en)
Other versions
KR20010070961A (ko
Inventor
알프레드 마크
케빈 라이
씨시 레웅
스티브 지. 가나옘
토마스 벤들링
핑 지안
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010070961A publication Critical patent/KR20010070961A/ko
Application granted granted Critical
Publication of KR100693612B1 publication Critical patent/KR100693612B1/ko

Links

Images

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4941Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 게이트 전극 접속 구조물에 관한 것으로, 텅스텐 질화물 배리어층(8)과 텅스텐 플러그(9)의 증착에 의해 형성되고, 텅스텐 질화물과 텅스텐 증착은 동일한 화학기상증착(CVD) 챔버내에서 인 시튜로 수행된다. 텅스텐 질화물 증착은 수소, 질소 및 텅스텐 헥사플루오라이드를 함유하는 플라즈마를 사용하여 플라즈마 화학기상증착(PECVD)에 의해 수행된다. 증착 이전에, 웨이퍼는 부착을 증진시키기 위해 수소 플라즈마로 사전처리된다. 텅스텐 증착 처리는 텅스텐 헥사플루오라이드 및 수소를 사용하여 CVD에 의해 수행된다. 텅스텐 핵형성 단계는 텅스텐 헥사플루오라이드, 디보란 및 수소를 함유하는 처리 기체가 기판 처리 챔버의 증착 영역 내부로 유입되는 것을 포함한다. 핵형성 단계 이후, 디보란은 텅스텐의 벌크 증착에 적합한 조건으로 압력 레벨 및 다른 처리 파라미터를 유지하는 동안 셧오프된다.

Description

텅스텐 및 텅스텐 질화물의 인 시튜 화학기상증착에 의해 개선된 게이트 전극 결합 구조물 {IMPROVED GATE ELECTRODE CONNECTION STRUCTURE BY IN SITU CHEMICAL VAPOR DEPOSITION OF TUNGSTEN AND TUNGSTEN NITRIDE}
본 발명은 집적회로(IC)의 제조에 관한 것이다. 특히, 본 발명은 텅스텐(W)의 화학기상증착(CVD) 및 텅스텐 질화물(WxN) 막의 플라즈마 강화 화학기상증착(PECVD)에 의해 형성된 개선된 게이트 전극 접속 구조물을 가진 게이트 스택 구조물을 형성하는 방법 및 장치를 포함하는 기술에 관한 것이다.
현재의 IC는 다수의 트랜지스터를 포함한다. 이러한 트랜지스터는 일반적으로 소스 및 드레인 영역 사이에 위치하는 게이트 전극을 가진 소스 영역 및 드레인 영역을 포함하는 전계효과 트랜지스터이다.
전형적인 게이트 구조물은 실리콘 이산화물(SiO2)과 같은 게이트 산화물 박막의 상부에 위치하는 얇은 폴리실리콘 전극을 포함한다. 게이트 전극과 게이트 산화물은 반도체 소스와 드레인 영역 사이에 형성되고, p-형 또는 n-형 실리콘의 하부에 위치하는 웰을 형성한다. 소스 및 드레인 영역은 게이트 위치를 한정하기 위해 웰과 반대로 도핑되고, 실리콘 산화물(SiOx) 또는 실리콘 질화물(SiNx)과 같은 절연 재료층은 소스 및 드레인 영역의 상부에 증착되며, 개구부 또는 비아는 소스 및 드레인 영역 사이의 절연 재료내에 형성된다. 비아 내부의 게이트 구조물은 얇은 산화물층, 폴리실리콘층 및 금속 플러그를 포함한다. 금속 플러그는 폴리실리콘 게이트 전극의 상부상에 텅스텐과 같은 금속을 기상 증착시킴으로써 형성된다. 접속부를 완성하기 위해, 실리콘은 게이트 전극에 대한 접속부로서 비교적 균일한 텅스텐 실리사이드(WSix)로 구성된 층을 형성하는 열적 어닐링 처리 동안 텅스텐 내부로 확산되도록 한다. 어닐링되지 않는다면, 실리콘은 텅스텐 내부로 확산되어 결국 텅스텐 실리사이드로 구성된 불균일층을 형성한다.
순수 텅스텐으로 구성된 전기 접속부를 가진 게이트 전극은 텅스텐이 텅스텐 실리사이드보다 낮은 저항을 가지기 때문에 텅스텐 실리사이드 전극보다 더 바람직하다. 불행히도, 실리콘은 텅스텐 내부로 확산하여 텅스텐 실리사이드를 형성한다. 확산은 확산 배리어로서 텅스텐 질화물(WxN)로 구성된 층을 증착시킴으로써 방지된다. WxN은 우수한 도전체일 뿐만 아니라 우수한 확산 배리어 재료이기도 하다. 이러한 배리어층은 화학기상증착(CVD) 처리에서 암모니아(NH3)로 헥사플루오라이드(WF6)를 감소시킴으로써 형성된다.
불행히도, 상술된 처리는 고체 부산물 형태의 오염물 입자 형성을 야기한다. 이러한 부산물은 텅스텐 헥사플루오라이드((NH3)4WF6), 암모니엄 플루오라이드(NH4F) 및 다른 암모니엄 복합체의 암모니아 부가물을 포함한다. 이러한 입자의 많은 수가 증착 챔버의 내부에 부착된다. 챔버 내부의 온도 변동 동안, 증착물은 벽으로부터 박리(flake off)되어 웨이퍼를 오염시킨다. 더욱이, 상술된 처리를 사용하여 증착되는 텅스텐 질화물은 많은 그레인 경계를 가진 다결정 구조를 가진다. 결과적으로, 텅스텐 질화물의 확산 배리어 특성은 손상된다. 추가로, 통상적인 방법으로 증착된 텅스텐 질화물 막은 증착됨과 동시에 기판에 잘 부착되지 않는 경향이 있다.
그러므로, 실리콘이 텅스텐 내부로 확산하는 것을 방지하기 위해 양립할 수 있는 확산 배리어를 가진 낮은 저항 게이트 전극 접속부를 가진 게이트 구조물 및 이를 제조하기 위한 방법과 장치가 필요하다.
종래 기술과 관련된 문제점은 본 발명에 따라 에너지가 기체 혼합물에 제공될 때까지 서로에 대해 기상 반응(gas phase reaction)을 하지 않는 두 기체 혼합물을 사용하여 CVD 처리에 의해 폴리실리콘 게이트 전극의 상부에 증착된 텅스텐 질화물(WxN)의 확산 배리어를 가진 게이트 전극 접속 구조물에 의해 해결된다. 게이트 접속 구조물은 플라즈마 강화 화학기상증착(PECVD) 처리를 사용하여 증착된 텅스텐으로 구성된 도전체층을 더 포함한다. 본 발명의 제 1 실시예에 따라서, 텅스텐은 게이트 전극을 형성하기 위해 PECVD를 사용하여 텅스텐 질화물 확산 배리어의 상부에 증착된다.
본 발명의 제 2 실시예에 따르면, 텅스텐은 텅스텐 핵형성 단계 동안 디보란(B2H6)이 증착되는 다단계 CVD 처리를 사용하여 증착된다.
본 발명의 WxN 증착 처리는 웨이퍼를 포함하는 챔버내에 기체 혼합물을 제공하고, 기체 혼합물에 에너지를 가하여 플라즈마를 형성함으로써 수행된다. 기체 혼합물은 질소와 수소를 함유하는 제 1 기체 조성물 및 텅스텐을 함유하는 제 2 기체 조성물을 포함한다. 제 1 기체 조성물은 기체 혼합물에 에너지가 제공되기 전까지는 제 2 기체 조성물과 기상 반응하여 텅스텐 질화물을 형성하지 않는다. 텅스텐 함유 조성물은 텅스텐 헥사플루오라이드(WF6)이다. 제 1 기체 조성물은 N2 및 H2를 포함한다. 추가로, 기체 혼합물은 아르곤 희석제를 포함한다.
기체 혼합물은 증착 영역에 플라즈마를 형성하도록 에너지가 인가된다. 플라즈마에서, 질소(N2)는 질소 이온으로 해리되고, 텅스텐은 불소로부터 분리된다. 다음으로, 질소 이온과 텅스텐은 결합되어 텅스텐 질화물(W2N)을 형성한다. 텅스텐 질화물은 챔버내 가열된 웨이퍼 표면과 반응하고, 그 결과 텅스텐 질화물 층(또는 막)이 웨이퍼 상부 표면상에서 성장된다.
수소 및 불소는 결합하여 챔버로부터 버려지는 즉, 제거되는 기체 반응 부산물인 수소 플루오라이드(HF)를 형성한다. 본 발명에 따르면 텅스텐 질화물을 증착시킴으로써 오염물 입자가 거의 발생하지 않는다. 오염물 입자에서의 이러한 감소는 암모니엄 함유 오염물을 형성하는 암모니아의 기상 반응을 제거함으로서 달성된다.
기판에 대한 텅스텐 질화물 막 부착을 개선하기 위해, 특히 텅스텐 질화물이 증착된 절연층을 가진 웨이퍼에 대한 텅스텐 질화물 막의 부착을 개선하기 위해, 웨이퍼는 텅스텐 질화물 막을 증착시키기 전에 플라즈마로 사전처리된다. 사전처리는 제 1 기체 조성물만을 제공하고 에너지를 가하여 플라즈마를 형성하도록 함으로써 텅스텐 질화물 증착에서와 동일한 챔버내에서 수행된다. 이와 같이, 웨이퍼는 예를 들면 수소 또는 수소 및 질소를 함유하는 기체 혼합물로 구성된 플라즈마로 사전처리된다. 웨이퍼가 처리된 이후, 텅스텐 헥사플루오라이드가 기존 플라즈마에 부가되어 텅스텐 질화물 증착을 시작한다.
본 발명에 따라 증착된 텅스텐 질화물은 통상적으로 증착되는 텅스텐 질화물보다 더욱 비정질이고, 이에 따라 더 우수한 확산 배리어로서의 역할을 한다.
텅스텐 질화물이 증착되면, 텅스텐의 벌크층이 금속화층으로 증착되어 게이트 구조물을 완성한다. 벌크 텅스텐 증착은 텅스텐 헥사플루오라이드의 열적 감소에 의한 텅스텐의 CVD 증착을 사용하여 이루어진다.
본 발명의 제 2 실시예에 따른 텅스텐 증착 처리는 핵형성 단계와 후속하는 벌크 증착 단계를 포함한다. 핵형성 단계에서, 텅스텐-함유 소스, III족 또는 V족 수소화물 및 환원제를 함유하는 처리 기체가 기판 가공 챔버의 증착 영역으로 유입되고, 이러한 증착 영역은 제 1 압력 레벨 또는 그 이하로 유지된다. 핵형성 단계 동안, 다른 처리 변수는 기판 상부에 텅스텐 막의 제 1 층을 증착하기에 적합한 조건으로 유지된다. 다음으로, 벌크 증착 단계 동안, 증착 영역으로의 III족 또는 V족 수소화물의 유입은 중단되고, 이후 증착 영역내 압력이 제 1 압력 레벨 이상의 제 2 압력 레벨로 증압되며 다른 처리 파라미터는 기판상에 텅스텐 막의 제 2 층을 증착하기에 적합한 조건으로 유지된다.
본 발명의 선택적인 실시예에서, 텅스텐-함유 소스의 유입은 텅스텐 증착 단계 동안 III족 또는 V족 수소화물의 유입과 함께 중단된다. 텅스텐-함유 소스의 유입은 증착 영역내 압력이 제 2 압력 레벨로 증가될 때 재개된다. 바람직하게는, 텅스텐-함유 소스의 유입은 5 내지 30초의 주기 동안 중단된다.
본 발명의 원리가 이하 첨부된 도면과 관련된 상세한 설명을 통해 쉽게 이해될 수 있을 것이다.
도 1A은 본 발명에 따라 부분적으로 형성된 게이트 구조물의 수직 단면 개략도.
도 1B는 플라즈마에서 텅스텐 질화물 증착하에서 본 발명에 따라 부분적으로 형성된 게이트 구조물의 수직 단면 개략도.
도 1C는 텅스텐 질화물 증착후 본 발명에 따라 부분적으로 형성된 게이트 구조물의 수직 단면 개략도.
도 1D는 본 발명에 따라 부분적으로 형성된 게이트 구조물에 후속하는 텅스텐 증착을 도시하는 수직 단면 개략도.
도 1E는 본 발명에 따라 연마된 이후 완성된 게이트 구조물의 수직 단면 개략도.
도 2는 본 발명에 따른 간략화된 화학기상증착의 제 1 실시예의 수직 단면 도.
도 3은 특정 실시예에 따른, 시스템 제어 소프트웨어 컴퓨터 프로그램(170)의 단계적 제어 구조의 블럭도.
도 4는 본 발명의 게이트 구조물을 형성하는 방법의 동작 순서를 도시하는 순서도.
도 5는 본 발명의 텅스텐 질화물 증착 동안 제어 소프트웨어에 의해 수행된 동작의 순서를 도시하는 순서도.
도 6은 본 발명의 디보란을 사용하여 텅스텐 증착 방법의 제 1 실시예의 단계를 도시하는 순서도.
도 7은 본 발명의 디보란을 사용하여 텅스텐 증착 방법의 제 2 실시예의 단계를 도시하는 순서도.
이해를 용이하게 하기 위해, 도면에 대해 가능한 한 동일한 엘리먼트를 나타내는데 동일한 참조부호를 사용하였다.
I. 개요
본 발명은 텅스텐 질화물 확산 배리어의 상부에 증착된 새로운 텅스텐 함유 게이트 전극 결합 구조물에 관한 것이다. 확산 배리어와 게이트 전극은 최종 게이트 전극의 저항을 감소시키며 하부에 위치하는 기판에 대한 전극의 부착력을 증진시키고 게이트 전극 성능에 대한 오염물의 효과를 감소시키는 방법을 사용하여 증착된다.
본 발명의 게이트 전극 접속 구조물을 형성하는 방법은 도 1A 내지 도 1E에 도시된다. 도 1A는 게이트 접속부가 형성될 다수의 형성된 집적회로 엘리먼트(1)의 단면 개략도이다. 게이트 구조물은 n-형 또는 p-형 실리콘과같은 반도체 재료로 구성된 웰(2)을 포함하는 기판상에 형성된다. 웰(2)은 반도체 웨이퍼와 같은 기판의 일부이고, 적당한 도펀트 이온으로 이온 범버딩에 의해 웰(2)의 양측면중 하나의 측면상에 위치하는 소스 영역(3a) 및 드레인 영역(3b)에 의해 형성된다. 예를 들면, 웰(2)은 갈륨 또는 이리듐과 같은 어셉터 불순물로 도핑되어, p-웰을 형성한다. 소스 영역(3a) 및 드레인 영역(3b)은 인산, 안티모니 또는 비소와 같은 도너 불순물로 도핑되어, n-형 영역을 형성한다. 물론, 웰(2) 영역, 소스 영역(3a) 및 드레인 영역(3b)의 도핑은 반대가 된다. SiO2와 같은 절연체층(5)은 공지된 유전체 증착 기술을 사용하여 기판상에 증착된다. 절연체층(5)은 소스 영역(3a) 및 드레인 영역(3b) 상부에 위치한다. 개구부(6)가 선택적인 에칭에 의해 절연체층(5)내에 형성된다. 실리콘 이산화물(SiO2)과 같은 절연체 재료의 박층이 개구부(6)의 기저부에서 게이트 산화물(5a)을 형성한다. 개구부(6)는 소스 영역(3a) 및 드레인 영역(3b) 사이에 위치한다. 폴리실리콘 게이트 전극(4)이 게이트 산화물(5a)의 상부에 위치한다.
개구부(6)가 형성된 이후, 절연체(5)와 부분적으로 형성된 집적회로 엘리먼트(1)의 노출된 부분이 배리어층의 부착을 증진하도록 사전처리될 수 있다. 도 1B는 플라즈마(7)로부터의 이온과 범버딩됨으로써 부분적으로 형성된 집적회로 엘리먼트(1)의 사전처리를 도시한다. 플라즈마(7)는 수소 및 질소 함유 기체 혼합물에 에너지를 가함으로써 형성된다. 텅스텐 질화물 배리어층을 증착시키기 위해, 텅스텐 헥사플루오라이드와 같은 기체를 함유하는 텅스텐이 플라즈마에 부가된다. 플라즈마에서, WF6은 텅스텐과 불소로 분리된다. 플라즈마가 해리되고 N2를 이온화하여 질소 이온을 형성한다. 질소 이온과 텅스텐은 결합하여 텅스텐 질화물(W2N)을 형성한다. 텅스텐 질화물은 기판(2)의 노출된 부분의 상부 표면과 절연체(5)을 덮고 도 1C에 도시된 바와 같이 확산 배리어(8)를 형성한다.
본 발명의 제 1 실시예에서, 텅스텐은 WF6을 함유하는 기체 혼합물을 사용하여 통상적인 CVD 처리에 의해 증착된다. 이러한 처리 동안, 게이트 구조물(1)을 포함하는 웨이퍼는 가열된다. WF6을 가열된 웨이퍼 표면에 노출시키는 것은 열-유도 화학 반응이 발생하도록 한다. 결과적으로, 텅스텐층(9)은 도 1D에 도시된 바와 같이 텅스텐 질화물 확산 배리어(8)의 상부 표면 상부에 형성된다.
본 발명의 제 2 실시예에서, 텅스텐층(9)은 핵형성 단계 동안 WF6과 같은 기체 함유 텅스텐 및 디보란(B2H6)과 같은 III족 또는 V족 성분의 수소화물을 증착 챔버 내부로 유입시킴으로써 증착된다. 웨이퍼는 웨이퍼상에서 화학 반응을 야기하도록 가열되고, 그 동안 텅스텐층(9)은 증착된다. B2H6은 뜨거운 웨이퍼상에서 WF6과 반응하여 휘발성 BF3을 형성하고, 이에 따라 상기 반응으로부터 불소를 제거한다. 그 결과 텅스텐으로 구성된 큰 그레인의 성장을 야기하는 텅스텐층(9)내의 불소가 더 적게되고, 이에 따라 더 우수한 핵형성이 가능하다. 불소가 감소되고 더 우수한 핵이 형성된 후에 텅스텐층(9)이 증착된다. 수소화물의 유입은 텅스텐층(9)의 벌크 증착 이전에 중단된다. 이러한 방식으로 증착된 텅스텐 막은 다른 텅스텐 막에 비해 낮은 저항 및 강한 부착력을 가진다.
도 1E에 도시된 바와 같이, 웨이퍼의 상부 표면은 절연체층(5)의 상부 표면으로부터 텅스텐층(9) 및 W XN 확산 배리어(8)의 일부를 제거하도록 연마된다. 그 결과 형성된 플러그(9a)는 완성된 집적회로 엘리먼트(10)의 게이트 전극(4)으로의 전기 접속부를 형성한다. 연마는 공지된 화학 기계 연마 처리를 사용하여 달성된다.
본 발명의 방법에 의해 증착된 텅스텐 및 텅스텐 질화물 막은 0.35미크론 또는 그 이하의 피쳐 크기를 가진 게이트 구조물 제조에 적합하다. 본 발명의 게이트 스택의 텅스텐층(9)과 확산 배리어(8) 모두는 단일 CVD 챔버 내에서 인 시튜 방식으로 증착될 수 있다.
II. 예시적인 CVD 반응로 챔버
본 발명에 따르면, 텅스텐층(9)과 WxN 확산 배리어(8)의 증착은 플라즈마 화학기상증착을 위해 제공되는 어떠한 챔버내에서도 수행될 수 있다. 텅스텐 질화물증착 다음으로 바로 텅스텐 증착이 후속하기 때문에, 텅스텐 질화물 증착 챔버는 금속을 증착시킬 수 있다는 장점이 있다. 결과적으로, 플라즈마 처리 및 두개의 증착은 인 시튜로 수행되고, 이에 따라 챔버 사이에 웨이퍼를 전달하는 동안 발생하는 주변 오염물에 텅스텐 질화물을 노출시킬 필요가 없게 된다. 예를 들면, 웨이퍼 전달 동안, 텅스텐 질화물은 산소에 노출되고, 이에 따라 수용 불가능한 레벨로 텅스텐 질화물의 저항을 증가시키고 웨이퍼 다이의 일부가 결함을 가지도록 하는 천연 산화물을 유발한다.
미국 캘리포니아 산타 클라라에 소재하는 어플라이드 머티어리얼스사에 의해 제조되고 WxZ 챔버라는 상표로 판매되며, 1996년 7월 12일 자오 등에 의해 출원된 화학기상증착 챔버내 기체 유입경로에 위치하는 지지대 외부의 컴포넌트라는 발명의 명칭의 미국 특허출원번호 08/680,724호에 개시되며, 여기서는 참조를 위해 인용되는 CVD 챔버가 본 발명에 따른 텅스텐 질화물을 증착시키는데 사용된다.
도 2는 본 발명에 따른 게이트 구조물의 텅스텐층(9) 및 텅스텐 WXN 확산 배리어(8)가 증착될 수 있는 진공 챔버(12)를 포함하는 평판 냉벽(cold-wall) 화학기상증착 시스템(11)의 일 실시예를 도시한다. CVD 시스템(11)은 저항적으로 가열된 서셉터(18)상에 위치하는 웨이퍼(16)와 같은 기판에 인접한 챔버(12) 내부에 증착 기체를 분산시키기 위한 기체 분배 분기관(14)을 포함한다.
챔버(12)는 중앙 전달 챔버에 접속되며 로봇에 의해 제어되는 다중 가공 챔버를 가진 진공 가공 시스템의 일부이다. 웨이퍼(16)는 챔버의 측벽내 슬릿 밸브(도시 안됨)를 통해 로봇 블레이드에 의해 챔버(12) 내부로 유입된다. 서셉터(18)는 모터(20)에 의해 수직으로 이동 가능하다. 웨이퍼(16)는 서셉터(18)가 슬릿 밸브와 마주하는 제 1 위치(13)에 위치할 때 챔버 내부로 유입된다. 제 1 위치(13)에서, 웨이퍼(16)는 초기에는 통과되는 핀(22) 세트에 의해 지지되고 서셉터(18)에 결합된다. 핀(22)은 단일 모터 어셈블리에 의해 구동된다.
서셉터(18)가 점선으로 도시된 바와 같이 기체 분배 분기관(14)과 마주하는 가공 위치(32)에 위치할 때, 핀(22)은 서셉터(18) 내부로 들어가고 웨이퍼(16)는 서셉터(18)에 의해 지지된다. 서셉터(18)상에 위치된 이후, 웨이퍼(16)는 진공 클램핑 시스템(진공 홈(50))에 의해 또는 정전 척과 같은 다른 웨이퍼 유지 시스템에 의해 서셉터에 부착된다.
서셉터(18)가 가공 위치(32) 쪽으로 위쪽 방향으로 이동함에 따라, 웨이퍼(16)는 정화 가이드(54)와 접촉하고, 이러한 정화 가이드는 서셉터(18)상의 웨이퍼에 중심을 둔다. 증착 기체가 기판의 에지와 후면과 접촉하는 것을 방지하기 위해 에지 정화 기체(23)가 정화 가이드(54)를 통해 웨이퍼 에지에 유입된다. 정화 기체(25)는 또한 히터/서셉터 주위에 증착을 최소화하기위해 히터/서셉터(18) 주위에 유입된다. 이러한 정화 기체는 정화 라인(24)으로부터 공급되고 또한 스테인레스 스틸 벨로우즈(26)가 가공 동안 챔버내로 유입된 부식성 기체에 의해 손상되는 것을 방지하기 위해 사용된다.
증착 및 캐리어 기체는 밸브(17) 제어에 응답하여 기체 라인(19)을 통해 분기관(14)에 공급되고 그 다음 챔버의 증착 영역으로 공급된다. 가공 동안, 분기관(14)에 공급된 기체는 화살표(27)로 도시된 바와 같이 기판 표면에 균일하게 분배된다. 사용된 처리 기체와 부산물 기체는 배출 시스템(36)에 의해 챔버로부터 배출된다. 기체가 배출 시스템(36)으로부터 배출 라인(39)으로 방출되는 속도는 드로틀 밸브(37)에 의해 제어된다. 증착 동안, 제 2 정화 기체가 상술된 바와 같이 서셉터(도시 안됨)내 기체 채널 및 공급 라인(38)을 통해 웨이퍼(16) 에지에 공급된다. RF 전원(48)은 플라즈마 강화 CVD(PECVD)와 챔버의 플라즈마 세척을 제공하기 위해 분기관(14)에 결합된다.
웨이퍼(16)는 서셉터(18)의 몸체내에 삽입된 저항성 히터 엘리먼트(51)와 같은 수단에 의해 가열된다. 선택적으로, 방사선 히터 등이 웨이퍼(16)를 가열하기 위해 챔버(12) 외부에 장착된다.
드로틀 밸브(37), 기체 공급 밸브(17), 모터(20), 서셉터(18)에 결합된 저항성 히터, RF 전원(48) 및 다른 CVD 시스템(11)의 부품은 제어 라인(44)(일부만이 도시됨)을 통해 시스템 제어기(42)에 의해 제어된다. 시스템 제어기(42)는 프로세서(45)와 메모리(46)를 포함한다. 시스템 제어기(42)는 메모리(46)와 같은 컴퓨터-판독 가능 매체내에 저장된 컴퓨터 프로그램의 제어하에 동작한다. 컴퓨터 프로그램은 온도, 챔버 압력, 타이밍, 기체 혼합, RF 전력 레벨, 서셉터 위치, 히터 전류 및 특정 처리의 여러 파라미터를 나타낸다.
프로세서(45)는 단일-기판 컴퓨터(SBC), 아날로그 및 디지털 입력/출력 기판, 인터페이스 기판 및 스텝퍼 모터 제어기 기판을 포함한다. CVD 챔버(10)의 여러 부품은 기판, 카드 케이지 및 커넥터 크기와 형태를 한정하는 베사 모듈러 유럽피언(VME) 표준을 만족한다.
바람직하게는, 메모리(46)는 하드 디스크 드라이브이지만, 여러 종류의 메모리를 포함할 수 있다. 예를 들면, 플로피 디스크 또는 여러 적정 드라이브를 포함하는 다른 메모리 장치내에 저장된 다른 컴퓨터 프로그램이 시스템 제어기(42)를 동작시키는데 사용될 수 있다.
사용자와 시스템 제어기(42) 사이의 인터페이스는 CRT 모니터와 라이트 펜(도시 안됨)으로 연결된다. 키보드, 마우스 또는 다른 포인팅 혹은 통신 장치와 같은 다른 장치가 사용자가 시스템 제어기(42)와 통신하도록 라이트 펜 대신에 또는 이에 부가하여 사용될 수 있다.
막을 증착하기 위한 처리는 시스템 제어기(42)에 의해 실행되는 컴퓨터 프로그램을 사용하여 수행된다. 컴퓨터 프로그램 코드는 통상적인 컴퓨터 판독 가능 프로그램 언어로 기록될 수 있다: 예를 들면, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 등. 적합한 프로그램 코드는 통상적인 텍스터 에디터를 사용하여 단일 파일 또는 다수의 파일내에 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용 가능 매체내에 저장 또는 통합된다. 입력된 코드 텍스트가 하이 레벨 언어이면, 코드는 컴파일(compile)되고 그 결과 컴파일러 코드가 프리컴파일된 윈도우즈J 라이브러리 루틴의 오브젝트 코드와 링크된다. 링크된 컴파일 오브젝트 코드를 실행하기 위해, 시스템 사용자는 오브젝트 코드를 불러와서, 컴퓨터 시스템이 메모리내 코드를 로딩하도록 한다. 다음으로, CPU가 프로그램내에 식별된 태스크를 수행하도록 코드를 판독 및 실행한다.
도 3은 특정 실시예에 따른 컴퓨터 프로그램(70)의 단계적 제어 구조의 블록도를 도시한다. 사용자는 라이트 펜 인터페이스를 사용함으로써 CRT 모니터상에 디스플레이된 메뉴 또는 스크린에 응답하여 처리 설정 번호 및 처리 챔버 번호를 처리 선택기 서브루틴(73)에 입력한다. 처리 세트는 특정 처리를 수행하기 위하여 필요한 처리 파라미터의 소정 세트이고, 소정 세트 번호에 의해 식별된다. 처리 선택기 서브루틴(73)은 (ⅰ) 목표된 처리 챔버, 및 (ⅱ) 목표된 처리를 수행하기 위하여 처리 챔버를 동작시키기에 필요한 처리 파라미터의 목표된 세트를 식별한다. 특정 처리를 수행하기 위한 처리 파라미터는 처리 기체 구성과 흐름비, 기판 온도, 압력, RF 파워 레벨과 저주파수 RF 주파수와 같은 플라즈마 조건, 냉각 기체 압력 및 챔버 벽 온도 같은 처리 조건에 관련한다. 이러한 파라미터는 사용법의 형태로 사용자에게 제공되고, 예를 들면, 라이트 펜/CRT 모니터 인터페이스를 사용하여 입력된다.
처리를 모니터링하기 위한 신호는 시스템 제어기의 아날로그 및 디지털 입력 기판에 의해 제공되며, 처리를 제어하기 위한 신호는 CVD 시스템(11)의 아날로그 및 디지털 출력 보드 상에 출력된다.
처리 시퀀서 서브루틴(75)은 식별된 처리 챔버와 처리 선택기 서브루틴(73)으로부터 처리 파라미터의 세트를 수용 및 다양한 처리 챔버의 작동을 제어하기 위한 프로그램 코드를 포함한다. 다중 사용자가 처리 세트 번호 및 처리 챔버 번호를 입력할 수 있거나, 또는 단일 사용자가 다중 처리 번호 및 처리 챔버 번호를 입력할 수 있고, 그 결과 시퀀서 서브루틴(75)은 소정의 시퀀스에서 선택된 처리를 스케쥴링하도록 동작한다. 바람직하게, 시퀀서 서브루틴(75)은 (ⅰ) 챔버가 사용중인 지를 결정하기 위해 처리 챔버의 작동을 모니터링하는 단계, (ⅱ) 사용되는 챔버에서 어떤 처리가 행해지는 지를 결정하는 단계, 및 (ⅲ) 처리 챔버 및 수행될 처리 타입의 유용성에 기초한 소정의 처리를 실행하는 단계를 수행하기 위한 프로그램 코드를 포함한다. 처리 챔버를 모니터링하는 통상적인 방법은 폴링(polling)처럼 사용될 수 있다. 어떤 처리가 수행될 지를 스케쥴링할 때, 시퀀서 서브루틴(75)은 특정 사용자 입력 요구의 "에이지(age)" 또는 선택된 처리에 대한 소정의 처리 조건과 비교되어 사용되는 처리 챔버의 현재 조건, 또는 시스템 프로그래머가 스케쥴링 우선 순위를 결정하기 위해 포함하기를 원하는 다른 소정의 상대적인 요소를 고려하여 설계될 수 있다. 어떤 챔버 및 처리 세트 조합이 다음에 실행될 지를 시퀀서 서브루틴(75)이 결정한 후에, 시퀀서 서브루틴(75)은 가능한 다른 챔버(미도시)에서 챔버 관리 서브루틴(77a-c)으로 특정 처리 세트 파라미터를 통과시킴으로써 처리 세트의 실행을 초기화하고, 이러한 서브루틴(77a-c)은 시퀀서 서브루틴(75)에 의해 결정되는 처리 세트에 따라 챔버(12)내 다수의 처리 태스크를 제어한다. 예를 들면, 챔버 관리 서브루틴(77a)은 처리 챔버(12)내 스퍼터링과 CVD 처리 동작을 제어하기 위한 프로그램 코드를 포함한다. 챔버 관리 서브루틴(77)은 선택된 처리 세트를 수행하는데 필요한 챔버 요소의 동작을 제어하는 여러 챔버 요소 서브루틴의 실행을 제어한다. 챔버 구성 서브루틴의 예는 기판 배치 서브루틴(80), 처리 기체 제어 서브루틴(83), 압력 제어 서브루틴(85), 히터 제어 서브루틴(87) 및 플라즈마 제어 서브루틴(87)이다. 기술 분야에서의 당업자는 어떤 처리가 챔버(12)에서 수행되기 위해 선택될 지에 따라 다른 챔버 제어 서브루틴이 포함될 수 있음을 이해할 것이다. 작동시, 챔버 관리 서브루틴(77a)은 실행될 특정 처리와 관련한 처리 구성 서브루틴을 선택적으로 스케쥴링 또는 호출한다. 챔버 관리 서브루틴(77a)은 어느 처리 챔버(12) 및 처리 세트가 다음에 실행될지를 설정하는 시퀀서 서브루틴(75) 스케쥴 같은 처리 구성요소 서브루틴을 스케쥴링한다. 통상적으로, 챔버 관리 서브루틴(77a)은 다양한 챔버 구성요소를 모니터링하는 단계, 실행될 처리 세트에 대한 처리 파라미터에 기초하여 어떤 구성요소가 작동될 필요가 있는 지를 결정하는 단계, 및 모니터링과 결정 단계에 응답하여 챔버 구성요소 서브루틴을 실행하는 단계를 포함한다.
특정 챔버 구성요소 서브루틴의 작동은 도 2 및 도 3을 참조하여 설명될 것이다. 기판 배치 서브루틴(80)은 서셉터(18)상에 웨이퍼(16)를 로딩하고 선택적으로 웨이퍼(16)를 챔버(12)내 원하는 위치로 들어올려 웨이퍼(16)와 기체 분배 분기관(14) 사이의 이격을 제어하는데 사용되는 챔버 구성요소를 제어하기 위한 프로그램 코드를 포함한다. 웨이퍼(16)와 같은 기판이 처리 챔버(12)내로 로딩될 때, 서셉터(18)는 웨이퍼(16)를 수용하도록 낮춰진다. 그 후, 서셉터(18)는 챔버(12)내에서 원하는 높이로 올려져서, 웨이퍼가 CVD 처리 동안 기체 분배 분기관으로부터 제 1 거리 또는 이격을 유지하게 한다. 동작시, 기판 배치 서브루틴(80)은 챔버 관리 서브루틴(77a)으로부터 전달되는 지지 높이와 관련된 처리 세트 파라미터에 응답하여 서셉터(18)의 움직임을 제어한다.
처리 기체 제어 서브루틴(83)은 처리 기체 구성 및 흐름 속도를 제어하기 위한 프로그램 코드를 가진다. 처리 기체 제어 서브루틴(83)은 소정의 기체 흐름 속도를 얻기 위해 안전 셧-오프 밸브의 개/폐 위치를 제어하며 중량 흐름 제어기를 램핑 업/램핑 다운한다. 처리 기체 제어 서브루틴(83)은 모든 챔버 구성요소 서브루틴과 같이 챔버 관리 서브루틴(77a)에 의해 호출되고, 챔버 관리 서브루틴으로부터 소정의 기체 흐름 속도와 관련한 처리 파라미터를 수신한다. 통상적으로, 처리 기체 제어 서브루틴(83)은 기체 공급 라인을 개방하며, 반복적으로 (ⅰ) 필요한 중량 흐름 제어기를 판독하며, (ⅱ) 챔버 관리 서브루틴(77a)로부터 수신된 소정의 흐름 속도와 판독 결과를 비교하며, 및 (ⅲ) 필요한 대로 기체 공급 라인의 흐름 속도를 조정한다. 더욱이, 처리 기체 제어 서브루틴(83)은 불안전한 조건이 검출되었을 때 불안전한 속도에 대한 기체 유입 속도를 모니터링하는 단계 및 안전 셧-오프 밸브를 활성화시키는 단계를 포함한다.
소정의 처리에서, 헬륨 또는 아르곤 같은 불활성 기체는 반응성 처리 기체가 유입되기 전에 챔버내 압력을 안정시키기 위해 챔버(12)로 유입된다. 이러한 처리를 위해, 처리 기체 제어 서브루틴(83)은 챔버내 압력을 안정화시키기 위해 필요한 시간 동안 불활성 기체를 챔버(12)내로 유입하는 단계를 포함하도록 프로그램되고, 다음으로, 전술된 단계들이 실행될 수도 있다.
압력 제어 서브루틴(85)은 챔버의 배출 시스템내 드로틀 밸브(37)의 개방 크기를 조정함으로써 챔버(12)내 압력을 제어하는 프로그램 코드를 포함한다. 드로틀 밸브(37)의 개방 크기는 전체 처리 기체 유입, 처리 챔버의 크기 및 배출 시스템에 대한 펌핑 세트포인트 압력과 관련된 소정 레벨로 챔버 압력을 제어하도록 설정된다. 압력 제어 서브루틴(85)이 호출될 때, 소정 또는 타겟 압력 레벨은 챔버 관리 서브루틴(77a)으로부터 파라미터로서 수신된다. 압력 제어 서브루틴(85)은 챔버에 결합된 하나 이상의 통상적인 압력 마노미터를 판독함으로써 챔버(12)내 압력을 측정하고, 측정값과 타겟 압력을 비교하며, 타겟 압력에 해당하는 저장된 압력 표로부터 PID(비례, 적분 및 미분)값을 구하고, 압력 표로부터 얻어진 PID값에 따라 드로틀 밸브(37)를 조정하도록 동작한다. 선택적으로, 압력 제어 서브루틴(85)은 챔버(12)를 소정 압력으로 조정하기 위해 특정 개방 크기로 드로틀 밸브(37)를 개방 또는 폐쇄시키도록 기록될 수 있다.
히터 제어 서브루틴(87)은 웨이퍼(16)를 가열하는데 사용되는 히팅 엘리먼트(51)로의 전류를 제어하기 위한 프로그램 코드를 포함한다. 히터 제어 서브루틴(87)은 또한 챔버 관리 서브루틴(77a)에 의해 호출되고 타겟, 세트포인트 또는 온도 파라미터를 수신한다. 히터 제어 서브루틴(87)은 서셉터(18)내에 위치하는 열전대의 전압 출력을 측정하고, 측정 온도와 세트포인트 온도를 비교하고, 히팅 엘리먼트(51)에 인가되는 전류를 증가 또는 감소시켜 세트포인트를 얻기 위해 온도를 측정한다. 상기 온도는 저장된 변환 표내 해당 온도를 읽거나 4차 다항식을 사용하여 온도를 계산함으로써 측정된 전압으로부터 얻어진다. 삽입 루프가 서셉터(18)를 가열하는데 사용될 때, 히터 제어 서브루틴(87)은 루프에 인가되는 전류의 램프 업/다운을 점진적으로 제어한다. 추가로, 내장형 고장 안전 모드가 처리 안전 컴플라이언스를 검출하도록 포함될 수 있고, 처리 챔버(12)가 적절하게 설정되지 않았다면 가열 엘리먼트(51)의 동작을 셧 다운시킬 수 있다.
챔버(12)에는 PECVD, 챔버 세척 또는 다른 플라즈마 처리에 사용되는 RF 전원(48)이 제공된다. 플라즈마 처리가 사용될 때, 플라즈마 제어 서브루틴(90)은 챔버(12)내 처리 전극에 인가된 주파수 RF 전력 레벨을 설정하기 위한 프로그램 코드를 포함한다. 상술된 챔버 구성요소 서브루틴과 유사하게, 플라즈마 제어 서브루틴(90)은 챔버 관리 서브루틴(77a)에 의해 호출된다.
상기 CVD 시스템에 관한 설명은 주로 예시를 위한 것이고, 본 발명의 범위를 한정하는 것은 아니다. 상술된 시스템에 대한 여러 변형 예를 들면, 가압판 또는 서셉터 설계, 히터 설계, RF 전력 접속부의 위치 및 다른 것들에 대한 변형이 가능하다. 본 발명에 따른 텅스텐층을 증착하는 방법은 소정 가공 장치에 한정되지는 않는다.
시스템 제어기(42)상에서 수행되는 프로그램에 의해 실행된 단계는 도 4의 순서도로서 도시된다. 프로그램(400)은 단계(402)에서 시작된다. 단계(404)에서, 기판 배치 서브루틴(80)은 서셉터상의 웨이퍼를 배치하는데 필요한 챔버 엘리먼트를 제어한다. 다음으로, 단계(406)에서, 처리 기체 제어 서브루틴(83), 압력 제어 서브루틴, 히터 제어 서브루틴(87) 및 플라즈마 제어 서브루틴(90)은 관련 챔버 엘리먼트가 본 발명의 방법에 의해 텅스텐 질화물 증착 단계를 실행하도록 한다. 다음으로, 단계(408)에서 처리 기체 제어 서브루틴(83), 압력 제어 서브루틴, 및 히터 제어 서브루틴(87)은 챔버(12)의 관련 엘리먼트가 본 발명의 방법의 텅스텐 증착 단계를 실행하도록 한다. 마지막으로, 단계(410)에서 처리 시퀀서(75), CVD 챔버 관리기(77a) 및 기판 배치 서브루틴(80)은 추가 가공을 위해 서셉터(18)로부터 웨이퍼를 제거하도록 챔버(12)의 필요 엘리먼트를 제어한다. 상기 처리는 단계(412)에서 끝난다. 웨이퍼 냉각 또는 챔버 세척과 같은 다른 처리 단계가 도 4에 도시된 시퀀스에서 필요에 따라 선택적으로 호출된다.
III. 텅스텐 질화물 증착
텅스텐 질화물 증착 이전에 웨이퍼를 사전처리하기 위해, 도 1B에 도시된 바와 같이, 혼합물내 질소를 포함하거나 또는 포함하지 않으며 적어도 수소를 포함하는 하나 이상의 기체의 제 1 기체 혼합물이 챔버내로 유입되고, 에너지가 사전처리 플라즈마를 형성하기 위해 공급된다. 본 발명의 일 실시예는 사전처리 플라즈마(7)를 형성하기 위해 수소와 질소의 기체 혼합물을 사용한다. 짧은 시간 주기 이후, 제 2 기체 혼합물이 플라즈마(7)에 부가되어 웨이퍼상에 텅스텐 질화물 증착을 시작한다. 질소가 제 1 기체 혼합물에서 사용되지 않는다면, 텅스텐 질화물 증착을 용이하게 하기 위해 제 2 기체 혼합물을 플라즈마(7)에 첨가하여야 한다.
플라즈마(7)를 형성하기 위해 제공된 신호는 100킬로헤르쯔("KHz") 내지 5기가헤르쯔("GHz") 범위의 주파수를 가진다. 에너지의 주입은 웨이퍼가 텅스텐 질화물 증착 이전에 효과적으로 "세척"되도록 제 1 기체 혼합물내 수소에 에너지가 가해지고, 제 1 및 제 2 기체 혼합물의 조합에 에너지를 제공하는 것은 질소 N2가 질소 이온으로 해리되는 것을 증진시켜 텅스텐 질화물 증착을 용이하게 한다. 에너지는 또한 텅스텐 헥사플루오라이드의 항복을 촉진하고, 그 결과 불소가 텅스텐으로부터 분리되어 수소와 결합하여 불화수소(HF)를 형성한다. 질소 이온과 텅스텐은 결합하여 확산 배리어(8)를 형성하도록 웨이퍼상에 추후에 증착되어 텅스텐 질화물(W2N)을 형성한다.
텅스텐 질화물의 증착시 형성되는 불화수소(HF) 부산물은 챔버(12)로부터 배출된다. 종래의 텅스텐 질화물의 증착에 의해 형성된 고체 부산물과는 대조적으로, HF 부산물은 기체이다. 따라서, 본 발명에 따라 텅스텐 질화물의 증착 이후 남겨지는 오염물 입자의 수는 종래 텅스텐 질화물 증착 처리에 비해 크게 감소된다. 일반적으로, 본 발명에 따른 텅스텐 질화물의 증착은 8인치 웨이퍼당 30 또는 그 이하의 입자만을 발생시킨다.
질소와 수소는 각각 1sccm(분당 표준 제곱 센티미터) 내지 5,000sccm 범위의 유입 속도로 제공되며, 아르곤 희석제의 유입 속도는 1sccm 내지 5,000sccm 범위이다. 질소와 수소는 챔버(12)에 제공되어 텅스텐 헥사플루오라이드가 챔버(12)에 공급되기 이전에 15 내지 30초 동안 처리 플라즈마(7)를 형성한다. 기체 혼합물내 텅스텐 헥사플루오라이드는 1sccm 내지 100sccm 범위의 유입 속도로 공급된다.
신호로부터의 에너지는 가장 먼저 수소를 여기시켜 수소와 질소가 절연체층을 효율적으로 처리(즉, 스퍼터 세척)하고 다음으로 질소가 질소 이온으로 해리되도록 한다. 에너지는 또한 텅스텐 헥사플루오라이드가 텅스텐으로 환원하도록 한며, 이러한 텅스텐은 질소 이온과 결합하고, 불소는 수소와 결합한다. 결과적으로, 텅스텐과 질소는 결합하여 텅스텐 질화물(W2N)을 형성하고, 수소와 불소는 결합하여 불화수소(HF)를 형성한다.
본 발명에 따르면, 플라즈마(7)를 형성하기 위해 RF 전원(48)에 의해 제공되는 신호는 100KHz 내지 5GHz 범위의 주파수를 가진다. 신호의 전력은 1와트 내지 5킬로와트 범위이다. 바람직하게는, 신호의 전력은 100와트 내지 1킬로와트 범위이다. 처리 플라즈마(7)는 15 내지 30초의 주기 동안 유지되고, 증착 플라즈마는 5 내지 600초의 시간 주기 동안 유지된다.
RF 전원(48)으로주터 전압의 반복 싸이클링은 웨이퍼(16)에서 음의 바이어스를 발생시키는 웨이퍼(16)의 인접부에 전자 과잉을 초래한다. 기체 분배 분기관(14)은 -200 내지 -450볼트 사이, 바람직하게는 -400볼트의 음의 바이어스를 필요로 한다. 가공 챔버(12)와 서셉터(18)는 접지되고, 웨이퍼(16)의 음의 바이어스는 대략 -100 내지 -250볼트 사이, 전형적으로는 -200볼트이고, 플라즈마(7)가 유지되는 시간 동안 적절하게 일정하게 유지된다. 전압 기울기는 플라즈마내에 형성된 텅스텐 질화물이 웨이퍼(16) 표면상에 인도되도록 한다.
플라즈마 발생이 완성되면, 불화수소(HF)는 배출 시스템(36)에 의해 가공 챔버(12)로부터 배출된다. 텅스텐 질화물이 8인치 웨이퍼상에 증착되면, 본 발명에 따라 생성된 오염물 입자의 수는 30 또는 그 이하이다.
도 5는 본 발명의 텅스텐 질화물 증착 단계에 대한 루틴의 프로세스 단계의 시퀀스를 도시한다. 루틴(500)은 메모리(46)로부터 수신된 프로그램 코드 명령에 응답하여 시스템 제어기(42)에 의해 수행된다. 텅스텐 질화물 증착이 시작(단계 502)됨과 동시에, 가공 챔버(12)의 온도 및 압력이 단계 504에서 설정된다. 압력과 온도를 설정할 때, 시스템 제어기(42)는 메모리(46)로부터 수신된 명령을 실행한다. 이러한 명령의 실행은 챔버의 엘리먼트가 웨이퍼 온도와 챔버 압력을 설정하기 위해 동작하도록 한다.
예를 들면, 시스템 제어기(42)는 압력 제어 서브루틴(85)으로부터 검색된 명령에 응답하여, 배출 시스템(36)이 가공 챔버(12) 압력을 0.1Torr 내지 100Torr 범위로 설정하도록 한다. 시스템 제어기(42)는 또한 히터 제어 서브루틴(87)에 응답하여 가열 엘리먼트(51)가 웨이퍼를 200℃ 내지 600℃의 온도로 가열하도록 명령한다.
가공 챔버의 온도와 압력이 설정되면, 시스템 제어기(42)는, 단계 506에서 제어 밸브(17)가 제 1 기체 혼합물을 챔버 내부로 유입되도록 하고, 단계 508에서 RF 전원(48)이 원하는 주파수를 가진 RF 신호로 플라즈마를 점화하기 위한 제 1 기체 혼합물에 에너지를 공급하도록 하는 플라즈마 제어 서브루틴(90)으로부터의 명령을 실행한다. 예를 들면, 기체 제어 밸브(17)는 단계 506에서 상술된 바와 같이 웨이퍼 처리 플라즈마를 형성하기 위해 질소와 수소를 함유하는 제 1 기체 혼합물을 제공하도록 제어된다.
더욱이, 단계(508)에서, 처리 유니트(42)는 기체 분기관(14)에 1와트 내지 5킬로와트 범위의 전력과 100KHz 내지 5GHz 범위의 주파수를 가진 신호를 제공하도록 RF 전원(48)에게 명령한다. 처리 유니트(42)는 이러한 신호가 15 내지 30초 범위의 시간 동안 제공되도록 한다. 그 후, 처리 유니트(42)는 단계 510에서, 기체 제어 밸브(17)가 기체 라인(19)을 통해 기체 분기관(14)에 텅스텐 헥사플루오라이드와 아르곤을 공급하도록 한다. 이와 같이, 텅스텐 질화물은 웨이퍼(16)상에 증착된다. 증착 처리는 5 내지 600초 범위의 시간 동안 지속된다.
플라즈마의 발생과 유지가 완결되면, 챔버의 내부는 단계 512에서 정화된다. 시스템 제어기(42)는 배출 시스템(36)에게 가공 챔버(12)로부터 모든 반응성 부산물을 제거하도록 명령한다. 가공 챔버(12)가 정화되면, 텅스텐 질화물의 증착이 완결된다. 그 후, 챔버는 단계 514에서 상술된 바와 같이 통상적인 CVD에 의해 텅스텐 질화물상에 텅스텐을 증착하는데 사용될 수 있다. 텅스텐이 증착되면, 프로세스는 단계 516에서 완결된다. 다음으로 웨이퍼(16)는 게이트 구조를 완성하도록 제거되고 평탄화(연마)된다.
IV. CVD 텅스텐 증착
본 발명의 일 실시예에서, 텅스텐층(9)이 가공 챔버(12)내 웨이퍼(16)상의 배리어층(즉, 텅스텐 질화물층(8))상에 증착된다. 웨이퍼(16)의 온도는 200℃ 내지 600℃ 범위로 설정되고, 가공 챔버(12)내 압력은 0.01Torr 범위로 설정된다. 기체 제어 밸브(17)는 기체 분기관(14)에 텅스텐 헥사플루오라이드와 수소의 기체 혼합물을 제공한다. 질소가 아르곤 희석제에 선택적으로 첨가된다. 기체 혼합물은 아르곤 희석제를 포함한다. 기체 분기관(14)은 기체 혼합물을 웨이퍼(16)가 위치하는 가공 챔버(12) 내부로 유입시킨다. 텅스텐 헥사플루오라이드의 유입율은 1sccm 내지 100sccm 범위이고, 질소와 수소의 유입 속도는 1sccm 내지 5,000sccm 범위이다. 아르곤의 유입 속도는 1sccm 내지 5,000sccm 범위이다. 텅스텐을 증착시키기 위한 이러한 처리는 1991년 6월 2일 공고된 미국 특허번호 5,028,565호에 개시되어 있고, 여기서는 참조를 위해 인용된다.
이러한 점에서, 게이트 구조(1)를 포함하는 웨이퍼(16)는 가공 챔버(12)로부터 제거되고 텅스텐층(9)과 텅스텐 질화물 확산 배리어(8)의 원하지 않는 부분을 제거하는 기계 즉, 화학 기계 연마(CMP) 기계로 전달된다.
V. B2H6로 텅스텐 증착
상술된 바와 같이, 불화수소(HF)는 텅스텐 헥사플루로라이드 및 수소와 질소를 포함하는 제 2 기체 조성물의 부산물로서 생성된다. 본 발명의 선택적인 실시예를 수행할 때, 기체 불소 부산물은 텅스텐 헥사플루오라이드, 질소 및 수소의 반응으로부터 생성된다. 불소는 불화수소에 부가하여 생성되는데, 그 이유는 텅스텐 헥사플루오라이드로부터의 모든 불소와 결합하기에는 수소가 불충분하기 때문이다.
몇몇 예에서, 과잉 불소는 웨이퍼(16)에 부분적으로 형성된 집적회로로 확산한다. 이는 불소가 확산하는 재료층의 저항을 증가시킬 수 있다. 더욱이, 텅스텐 질화물이 본 발명에 따라 게이트 구조물을 형성하기 위해 증착될 때, 불소 부산물은 하부에 위치하는 게이트를 통해 확산하고 게이트 산화물(5a)의 두께를 증가시킨다. 과도하게 두꺼운 게이트 산화물은 전계 효과 트랜지스터가 동작할 수 없도록 한다.
본 발명의 추가의 실시예에서, 추가의 기체가 증착 동안 과도한 불소의 흡수를 위해 수소와 질소를 함유하는 제 2 기체 조성물에 첨가될 수 있다. 첨가 기체는 증착된 플라즈마에서 사용될 때 제 2 기체 조성물내 수소와 관련하여 또는 수소에 대한 대체물로서 사용될 수 있다. 본 발명에 따르면, 첨가 기체는 III족 또는 V족의 수소화물일 수 있다. 본 발명의 두 추가의 실시예에서, 디보란(B2H6)이 첨가 기체로서 텅스텐 증착 단계에서 사용될 수 있다.
도 6은 디보란을 사용하여 텅스텐 막을 증착시키는데 사용되는 본 발명의 이러한 두 추가의 실시예중 첫번째 실시예의 과정을 도시한다. 이러한 과정은 예시를 위한 것이지 본 발명의 청구항의 범위를 한정하기 위한 것은 아니다. 이하의 설명에서 참조부호는 도 2의 예시적인 챔버의 적절한 구성요소를 나타내는데 사용된다. 이러한 과정은 CVD 시스템(10)의 메모리(46)내에 저장된 컴퓨터 프로그램을 사용하여 수행되고 제어된다.
도 6에 도시된 바와 같이, 본 발명은 텅스텐-함유 소스, III족 혹은 V족 수소화물, 모노실란(SiH4)과 실란 기체 및 아르곤(Ar)과 같은 캐리어 기체를 함유하는 처리 기체가 대략 10 내지 60초 동안 챔버(12) 내부로 유입되어 후속하는 텅스텐 막에 대한 성장 위치로서의 역할을 하는 얇은 텅스텐을 성장시키는 핵형성 단계 600를 포함한다. 바람직한 실시예에서, 텅스텐-함유 소스는 WF6이고, III족 또는 V족 수소화물은 B2H6이다. 선택적으로, H2와 같은 환원제 및 N2와 같은 질소 함유 소스가 처리 기체에 첨가된다. 히터 엘리먼트(51)로의 전류는 웨이퍼 온도가 대략 350 내지 475℃(대략 325-450℃의 웨이퍼 온도에 해당)가 되고 챔버 압력이 대략 1 내지 50Torr가 되도록 설정된다.
핵형성 단계가 완결된 이후, 텅스텐-함유 소스의 유입과 혼합물 및 실란 기체의 유입이 중단되고(단계 605), 챔버의 압력이 벌크 증착 단계(단계 610)를 위해 증가된다. 단계 605에서, 텅스텐-함유 소스, 혼합물 및 실란 기체 유입이 중단되면, 캐리어 기체는 여분의 기체를 챔버로부터 정화하기 위해 대략 5 내지 40초 동안 유지된다. N2 및 H2 기체 유입이 단계 600에서의 처리 기체내에 포함된다면, 이러한 유입은 이러한 정화 단계 동안 유지될 것이다. 챔버 압력은 단계 610에서 증가되어 벌크 증착 단계 615내 텅스텐 증착 속도를 증가시키도록 한다. 바람직하게는, 압력은 대략 50 내지 760Torr 사이로 증가된다.
마지막으로, 벌크 증착 단계(615) 동안, 텅스텐-함유 소스는 처리 기체에 재유입되고 다른 처리 변수는 텅스텐 막의 제 2 층을 증착시키기에 적합한 조건으로 유지된다. H2 또는 유사 환원제가 단계 600-610에서 처리 기체내에 포함된다면, 이러한 유입은 단계 615에서 계속되어야 한다. H2 또는 유사 환원제가 단계 600-610에서 사용되지 않는다면, 이러한 유입은 단계 615에서 시작된다. 질소-함유 소스의 유입의 유지 혹은 시작은 선택적이다.
벌크 증착 단계(615)의 길이는 원하는 텅스텐 막의 두께에 의존한다. 핵형성 단계 600 동안 유입되는 B2H6의 양을 정확하게 제어할 필요가 있다. 실험을 통해 저항과 고유 저항이 초기에는 핵형성 처리 기체에 B2H6의 첨가에 따라 감소하지만 유입된 B2H6의 양이 포화점에 도달하면 실질적으로 증가되는 것을 알 수 있었다. 바람직하게는, B2H6이 이러한 단계에서 챔버로 유입되는 속도는 1 내지 50sccm 사이로 한정된다(희석되지 않은 흐름으로서 표시됨).
상술된 방법에 따라 증착된 텅스텐 막은 B2H6없이 증착된 텅스텐 막과, 예를 들면 벌크 증착 주기 동안 B2H6이 첨가될 때 다른 방식으로 첨가된 B2H6으로 증착된 텅스텐 막 모두보다 더 큰 그레인 크기를 가진다. 증가된 그레인 크기는 더 적은 그레인 경계와 감소된 그레인 경계 산란이 존재하기 때문에 더 낮은 저항을 야기하는 것으로 판단된다. 벌크 증착 단계전 기판 표면에서 B2H6의 존재 및 챔버 압력이 증가되기 전에 상기 B2H6의 배기가 더 큰 그레인 크기의 형성을 가능케 하고 막과 결합되는 불소의 양을 감소시키는 것으로 판단된다. 더욱이, 핵형성과 벌크 증착 단계 사이의 전이 주기 동안 압력이 증가됨에 따라 챔버내에 붕소가 존재할 때, 붕소는 막 계면에 트랩되고 이에 따라 부착 문제를 야기한다. 본 발명에 의한 방법에서(즉, 압력 증가 이전에) B2H6의 유입 및 배출은 본 발명의 단계를 사용하지 않은 CVD 텅스텐 B2H6 처리와 비교하여 증가된 부착력을 제공한다.
도 7에 도시된 본 발명의 방법에 따른 제 2 실시예에서, 여러 셋업, 정화 및 다른 단계가 도 6에 대해 상술된 핵형성, 정화, 압력화 및 벌크 증착 단계에 추가하여 수행된다. 도 7에 도시된 바와 같이, 셋업 단계(700), 실란 버스트 단계(705) 및 B2H6 사전침지/정화 단계(710)를 포함하는 여러 단계가 핵형성 단계(705) 이전에 수행된다. 셋업 단계(700)에서, 웨이퍼는 기체 분배 분기관으로부터 400밀(mil)에 위치하고 425℃ 온도(대략 8초)로 가열된다. 다음으로, Ar과 N2가 1000sccm 내지 300sccm의 유입 속도로 6초 동안 분기관(14)을 통해 챔버로 유입되고, 챔버 압력은 30Torr로 설정된다. 아르곤의 제 2 흐름은 100sccm의 속도로 에지 정화 가이드(54)를 통해 유입된다. 단계(705)에서, SiH4 및 H2가 각각 300sccm 및 1000sccm의 속도로 15초 동안 Ar+N2 처리 기체에 첨가되어, WF6 유입 이전에 기판상에 실리콘을 결합시키도록 한다. 이는 WF6가 기판 표면 에칭 또는 실리사이드 반응물 형성에 의해 실리콘 기판에 끌려가는 것을 방지한다.
다음으로, 단계(710)에서 웨이퍼는 진공 척(18)에 처킹되고 SiH4 흐름이 중단된다. B2H6가 아르곤, H2 및 N2에 추가되어 챔버로부터 여분의 SiH4를 정화하고 및 웨이퍼 표면상에 B2H6를 제공한다. 이러한 주기 동안, Ar 에지 정화 기체의 흐름은 2800sccm으로 증가된다. 이러한 B2H6 정화 단계는 20초동안 지속되고 250sccm의 속도로 B2H6을 유입시킨다. B2H6은 아르곤으로 희석된 B2H6 용액으로서 유입된다. 디보란 기체가 전형적으로 대략 5% 디보란:아르곤 비율 또는 그 이하의 비율로 아르곤내에 희석된다. 따라서, 250sccm의 희석된 B2H6 흐름은 12.5sccm의 희석되지 않은 B2H6 흐름과 동일하다. 웨이퍼가 처킹된 이후, SiH4는 정화되고, 핵형성 단계(715)가 시작된다. 핵형성 단계(715)는 상술된 바와 같이 얇은 텅스텐층을 성장시키기 위해 25초 동안 챔버(12) 내부로 WF6, B2H6, SiH4, H2, N2 및 Ar의 처리 기체를 유입시킨다. 제 2 실시예에서, 핵형성 단계(715) 동안 WF6, B2H6, SiH4, H2, N2 및 Ar의 유입 속도는 각각 30, 50, 15, 1000, 300 및 1500sccm이다. 50sccm 희석된 B2H6 흐름은 2.5sccm 희석되지 않은 B2H6 흐름과 동일하다. 챔버 온도는 425℃로 설정되고 챔버 압력은 30Torr로 설정된다.
핵형성 단계(715)가 완결된 이후, B2H6, WF6 및 SiH4 기체의 흐름은 중단되고 웨이퍼는 챔버 압력이 90Torr로 증가(단계 725)되기 이전에 분기관(14)으로부터 600밀 위치로 이동된다(단계 720). 단계(720)은 B2H6, WF6 및 SiH4 유입이 중단된 이후 N2, H2 및 Ar이 8초 동안 유입되어, 여분의 기체의 챔버를 정화하도록 한다. 또한, 정화 단계(720) 동안, Ar 캐리어 기체 흐름은 2700sccm으로 증가되고 Ar 에지 정화 흐름은 3000sccm으로 증가된다. 단계(725)에서, Ar 에지 정화는 3200sccm로 증가되고, 800sccm의 H2 에지 정화 흐름과 조합된다. 90Torr로의 압력 증가가 6초 주기 동안 달성된다. 다음으로, 벌크 증착 단계 730 동안, WF6 흐름은 처리 기체로 재유입되며, Ar 캐리어 기체 흐름은 1000sccm으로 감소되며, Ar 에지 정화는 3600sccm으로 증가되고 처리 조건은 미리 설정된 주기 동안 텅스텐 막의 증착을 완료시킨다.
제 2 실시예에서, 벌크 증착 단계(730) 동안 WF6과 H2가 챔버 내부로 유입되는 속도는 최종 텅스텐막이 사용될 애플리케이션에 의존한다. 전체 저항에 대해 컴포멀리티(conformality) 특성이 요구되는 비아-충전 애플리케이션에 사용될 경우, WF6는 95sccm의 속도로 유입되고 H2 흐름은 700sccm으로 유지된다. 하지만, 게이트 구조물에 텅스텐 막이 사용된다면, 낮은 저항이 주요 관심사이고 WF6 흐름은 36sccm으로 설정되는 반면, H2 흐름은 1800sccm으로 증가된다.
벌크 증착 단계(730)가 완결된 이후, 정화 단계(735)가 챔버(12)로부터 여분의 WF6 증착 기체를 정화하는데 사용된다. 이러한 정화 단계에서, WF6 및 H2 기체 흐름은 중단되고 Ar 캐리어 기체 흐름이 6초 동안 2700sccm으로 증가된다. 또한, 낮은 Ar 에지 정화 흐름이 2800sccm으로 감소되고 H2 에지 정화 흐름은 중단된다. 다음으로, 단계(740)에서 1800sccm의 H2 흐름이 6초 동안 유입되고, 웨이퍼는 디처킹되고(진공 클램핑 시스템(50)으로부터 탈착되고) Ar 에지 정화 흐름이 500sccm으로 감소된다. 단계(725)에서, 드로틀 밸브(37)는 완전히 개방되는 반면 챔버는 3초 동안 정화되며, 단계(750)에서 모든 기체 흐름은 중단되고 챔버는 진공화된다.
상술된 처리에 따라 증착된 텅스텐 막은 600Å의 두께로 8.5μΩ-㎝의 저항을 가지는 반면, 비아-충전 막은 유사한 두께의 9.0μΩ-㎝의 저항을 가진다. 각각의 불소 농도는 대략 1×1018원자/㎝3이고 붕소 농도는 1×1016원자/㎝3 이하이다(이러한 실험에 사용된 2차 이온 분광계 장치의 검출 한계).
상술된 실시예와 관련하여 이상에서 설명된 처리 파라미터는 200mm 웨이퍼에 장착되는 어플라이드 머티어리얼스사에 의해 제조된 저항성 가열 WxZ 챔버내에서 수핸된 특정 증착 처리에 대해 최적화된다. 특정 애플리케이션에 따라 텅스텐층을 증착하기 위해 상술된 처리 파라미터를 변경시키는 것에 추가하여, 당업자라면 이러한 바람직한 파라미터가 부분적으로 챔버에 따라 달라질 수 있으며 사용될 다른 설계 및/또는 부피의 챔버에 대해 변화될 수 있음을 알 수 있을 것이다.
상술된 게이트 구조물에 대한 파라미터와 장치는 상술된 바와 같이 청구항에 한정되는 것은 아니다. 당업자라면 바람직한 실시예에 대한 상술된 설명 이외의 다른 파라미터 및 조건을 사용할 수 있음을 알 수 있을 것이다. 이와 같이, 상술된 설명은 예시를 위한 것이지 한정을 위한 것은 아니다. 예를 들면, 다른 도펀트가 소스와 드레인 영역을 형성하는데 사용될 수 있다. 또한 N2O와 같은 질소의 다른 소스가 처리 기체로서 사용될 수 있고, 헬륨과 같은 다른 불활성 기체가 아르곤 대신에 사용될 수 있다. 더욱이, 다른 처리 온도 및 압력값과 다른 기체 유입율이 사용될 수 있다.
비록 본 발명의 원리와 결합된 여러 실시예가 도시되고 설명되었지만, 당업자라면 이러한 원리에 결합되는 다른 많은 여러 실시예가 용이하다는 것을 알 수 있을 것이다.

Claims (36)

  1. 처리 챔버내 기판상에 게이트 전극 접속 구조물을 형성하는 방법으로서,
    (A) 상기 게이트 전극상에 텅스텐 질화물 확산 배리어를 증착시키는 단계 - 상기 텅스텐 질화물 확산 배리어를 증착시키는 단계는,
    (ⅰ) 수소 기체를 함유한 제 1 기체 조성물을 제공하는 단계;
    (ⅱ) 상기 게이트 전극의 상부면을 가공하는 가공 플라즈마를 형성하기 위해 상기 제 1 기체 조성물에 에너지를 제공하는 단계;
    (ⅲ) 질소 기체와 텅스텐 함유 기체를 포함하는 제 2 기체 조성물로부터 플라즈마를 형성함으로써 상기 가공된 상부면 위에 텅스텐 질화물을 증착하는 단계를 포함함 - ; 및
    (B) 상기 텅스텐 질화물 확산 배리어의 상부상에 텅스텐층을 증착시키는 단계
    를 포함하며, 상기 텅스텐 질화물 확산 배리어와 상기 텅스텐층은 동일한 처리 챔버내에서 증착되는 게이트 전극 접속 구조물 형성 방법.
  2. 삭제
  3. 삭제
  4. 제 1항에 있어서, 상기 제 1 기체 조성물은 수소 및 질소로 구성되는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  5. 제 1항에 있어서, 상기 제 2 기체 조성물은 텅스텐 헥사플루오라이드를 포함하는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  6. 제 1항에 있어서, 상기 게이트 전극은 폴리실리콘으로 제조되는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  7. 제 1항에 있어서, 상기 제 2 기체 조성물은 아르곤을 포함하는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  8. 제 1항에 있어서, 상기 텅스텐층은:
    (i) 텅스텐-함유 기체 혼합물을 상기 처리 챔버내의 증착 영역에 제공하는 단계; 및
    (ii) 상기 기판상에 텅스텐을 증착시키기 위한 온도로 상기 기판을 유지하고 상기 기판상에 텅스텐을 증착시키기 위한 압력으로 상기 처리 챔버를 유지하는 단계
    에 의해 상기 단계 (B)에서 증착되는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  9. 제 8항에 있어서, 상기 기판은 200℃ 내지 600℃ 사이이 온도로 유지되는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  10. 제 8항에 있어서, 상기 챔버는 0.01Torr 내지 760Torr 사이의 압력으로 유지되는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  11. 제 8항에 있어서, 상기 기체 혼합물은 텅스텐 헥사플루오라이드를 포함하는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  12. 제 8항에 있어서, 상기 기체 혼합물은 수소와 질소로 구성된 그룹으로부터 선택된 제 2 기체를 더 포함하는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  13. 제 8항에 있어서, 상기 기체 혼합물은 아르곤을 더 포함하는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  14. 제 1항에 있어서, 상기 텅스텐층은:
    (i) 증착 영역내에 기판을 위치시키는 단계;
    (ii) 제 1 증착 단계 동안,
    (a) 텅스텐-함유 소스, III족 또는 V족 수소화물 및 환원제를 포함하는 처리 기체를 상기 증착 영역 내부로 유입시키는 단계; 및
    (b) 상기 기판상에 제 1 텅스텐 막 층을 증착시키기 위한 조건에서 여러 처리 변수를 유지하면서 제 1 압력 또는 그 이하로 상기 증착 영역을 유지하는 단계; 및
    (iii) 상기 제 1 증착 단계 이후 제 2 증착 단계 동안,
    (a) 상기 증착 영역 내부로의 상기 III족 또는 V족 수소화물의 유입을 중단하는 단계; 및
    (b) 상기 기판상에 제 2 텅스텐 막 층을 증착시키기 위한 조건에서 여러 처리 변수를 유지하면서 상기 증착 영역내의 압력을 상기 제 1 압력 이상의 제 2 압력으로 증가시키는 단계
    에 의해 상기 단계 (B)에서 증착되는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  15. 제 14항에 있어서, 상기 제 2 증착 단계는:
    상기 단계 (iii)(a)에서, 상기 III족 또는 V족 수소화물의 유입과 함께 상기 텅스텐-함유 소스의 유입을 중단하는 단계; 및
    상기 단계 (iii)(b)에서, 상기 증착 영역내의 압력이 상기 제 2 압력으로 증가된 이후, 상기 기판상에 제 2 텅스텐 막 층을 증착시키기 위한 조건에서 여러 처리 변수를 유지하면서 상기 텅스텐-함유 소스를 재유입시키는 단계
    를 더 포함하는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  16. 제 15항에 있어서, 상기 게이트 전극 접속 구조물 형성 방법은:
    (iv) 상기 제 1 증착 단계 이전의 예비 증착 단계 동안, 상기 제 1 증착 단계 에서 상기 텅스텐-함유 소스를 상기 챔버에 유입시키기 이전에 상기 챔버 내부로 실리콘-함유 소스를 유입시키는 단계를 더 포함하는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  17. 제 16항에 있어서, 환원제가 상기 예비 증착 단계 동안 상기 챔버 내부로 유입되는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  18. 제 16항에 있어서, 상기 텅스텐-함유 소스는 WF6를 포함하고, 상기 III족 또는 V족 수소화물은 B2H6을 포함하며, 상기 실리콘-함유 소스는 SiH4를 포함하는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  19. 제 18항에 있어서, 상기 환원제는 H2를 포함하는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  20. 제 1항에 있어서, 상기 텅스텐층은:
    (i) 증착 영역내에 기판을 위치시키는 단계;
    (ii) 제 1 증착 단계 동안,
    (a) 텅스텐-함유 소스, 디보란, 실란 기체, 환원제 및 캐리어 기체를 포함하는 처리 기체를 상기 증착 영역 내부로 유입시키는 단계; 및
    (b) 상기 기판상에 제 1 텅스텐 막 층을 증착시키기 위한 처리 조건 및 50Torr 이하의 압력 레벨로 상기 증착 영역을 유지하는 단계; 및
    (iii) 상기 제 1 증착 단계 이후 제 2 증착 단계 동안,
    (a) 상기 텅스텐-함유 소스, 상기 디보란 및 상기 실란 기체의 유입을 중단하는 단계;
    (b) 그 후, 상기 증착 영역내의 압력을 적어도 50Torr로 증가시키는 단계; 및
    (c) 상기 기체들의 유입을 중단하는 상기 단계 (ⅲ)(a) 이후 5 내지 20초 동안, 상기 기판상에 제 2 텅스텐 막 층을 증착시키도록 상기 텅스텐-함유 소스의 유입을 재개하는 단계
    에 의해 상기 단계 (B)에서 증착되는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  21. 제 20항에 있어서, 상기 제 1 증착 단계 이전에, 실란 기체, 환원제 및 캐리어 기체를 포함하는 처리 기체가 상기 증착 영역 내부로 유입되는 예비 증착 단계를 더 포함하는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  22. 제 20항에 있어서, 상기 텅스텐-함유 소스는 WF6를 포함하고, 상기 실란 기체는 SiH4를 포함하며, 상기 환원제는 H2를 포함하는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  23. 제 20항에 있어서, 상기 방법은 상기 제 1 증착 단계 이전에, B2H6 및 불활성 기체를 포함하는 정화 기체를 상기 증착 영역 내부로 유입시키는 예비 단계를 더 포함하는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  24. 제 23항에 있어서, 상기 방법은 상기 정화 기체를 유입시키기 전에 실리콘-함유 소스를 상기 증착 영역 내부로 유입시키는 단계를 더 포함하는 것을 특징으로 하는 게이트 전극 접속 구조물 형성 방법.
  25. 처리 챔버 내에서 기판 상에 게이트 전극 및 접속 구조물을 형성하는 방법으로서,
    (A) 상기 기판 상에 제 1 절연층을 형성하는 단계;
    (B) 상기 제 1 절연층을 통과하여 상기 기판까지 연장하는 개구부를 형성하는 단계;
    (C) 상기 개구부의 하부에서 상기 기판 위에 제 2 절연층을 형성하는 단계;
    (D) 상기 제 2 절연층 위에 게이트 전극을 형성하는 단계; 및
    (E) 상기 처리 챔버 내에서 상기 게이트 전극 위로 텅스텐 질화물 확산 배리어를 증착시키는 단계 - 상기 텅스텐 질화물 확산 배리어를 증착시키는 단계는,
    (ⅰ) 수소 기체를 포함하는 제 1 기체 조성물을 제공하는 단계;
    (ⅱ) 상기 부분적으로 형성된 게이트 구조물의 상부면을 가공하는 가공 플라즈마를 형성하기 위해 상기 제 1 기체 조성물에 에너지를 제공하는 단계;
    (ⅲ) 질소 기체 및 텅스텐 함유 기체를 포함하는 제 2 기체 조성물로부터 플라즈마를 형성함으로써 상기 가공된 상부면 위에 텅스텐 질화물을 증착하는 단계를 포함함 - ; 및
    (F) 상기 처리 챔버 내에서 전기 접속부를 형성하기 위해 상기 텅스텐 질화물 확산 배리어의 상부에 텅스텐층을 증착시키는 단계
    를 포함하며, 상기 텅스텐 질화물 확산 배리어 및 상기 텅스텐층은 상기 동일한 처리 챔버 내에서 증착되는 게이트 전극 및 접속 구조물 형성 방법.
  26. 삭제
  27. 삭제
  28. 제 25항에 있어서, 상기 제 1 기체 조성물은 수소 및 질소로 구성되는 것을 특징으로 하는 게이트 전극 및 접속 구조물 형성 방법.
  29. 제 25항에 있어서, 상기 제 2 기체 조성물은 텅스텐 헥사플루오라이드를 포함하는 것을 특징으로 하는 게이트 전극 및 접속 구조물 형성 방법.
  30. 제 25항에 있어서, 상기 텅스텐층은:
    (i) 상기 처리 챔버내의 증착 영역에 텅스텐-함유 기체 혼합물을 제공하는 단계; 및
    (ii) 상기 기판상에 텅스텐을 증착시키기 위한 온도로 상기 기판을 유지하고 상기 기판상에 텅스텐을 증착시키기 위한 압력으로 상기 처리 챔버를 유지하는 단계
    에 의해 상기 단계 (F)에서 증착되는 것을 특징으로 하는 게이트 전극 및 접속 구조물 형성 방법.
  31. 제 25항에 있어서, 상기 텅스텐층은:
    (i) 증착 영역내에 기판을 위치시키는 단계;
    (ii) 제 1 증착 단계 동안,
    (a) 텅스텐-함유 소스, III족 또는 V족 수소화물 및 환원제를 포함하는 처리 기체를 상기 증착 영역 내부로 유입시키는 단계; 및
    (b) 상기 기판상에 제 1 텅스텐 막 층을 증착시키기 위한 조건에서 여러 처리 변수를 유지하면서 제 1 압력 또는 그 이하로 상기 증착 영역을 유지시키는 단계;
    (iii) 상기 제 1 증착 단계 이후 제 2 증착 단계 동안,
    (a) 상기 증착 영역 내부로의 상기 III족 또는 V족 수소화물의 유입을 중단하는 단계; 및
    (b) 그 후, 상기 기판상에 제 2 텅스텐 막 층을 증착시키기 위한 조건에서 여러 처리 변수를 유지하면서 상기 증착 영역내 압력을 상기 제 1 압력 이상의 제 2 압력으로 증가시키는 단계
    에 의해 상기 단계 (F)에서 증착되는 것을 특징으로 하는 게이트 전극 및 접속 구조물 형성 방법.
  32. 제 31항에 있어서, 상기 제 2 증착 단계는:
    상기 단계 (iii)(a)에서, 상기 III족 또는 V족 수소화물의 유입과 함께 상기 텅스텐-함유 소스의 유입을 중단하는 단계; 및
    상기 단계 (iii)(b)에서, 상기 증착 영역내의 압력이 상기 제 2 압력으로 증가된 이후, 상기 기판상에 제 2 텅스텐 막 층을 증착시키기 위한 조건에서 여러 처리 변수를 유지하면서 상기 텅스텐-함유 소스를 재유입시키는 단계
    를 더 포함하는 것을 특징으로 하는 게이트 전극 및 접속 구조물 형성 방법.
  33. 제 32항에 있어서, 상기 게이트 전극 및 접속 구조물 형성 방법은:
    (iv) 상기 제 1 증착 단계 이전의 예비 증착 단계 동안, 상기 제 1 증착 단계 에서 상기 텅스텐-함유 소스를 상기 챔버에 유입시키기 이전에 상기 챔버 내부로 실리콘-함유 소스를 유입시키는 단계를 더 포함하는 것을 특징으로 하는 게이트 전극 및 접속 구조물 형성 방법.
  34. 게이트 전극 접속 구조물을 형성하기 위한 기판 처리 시스템으로서,
    (A) 진공 챔버를 형성하는 하우징과, 상기 진공 챔버내에서 부분적으로 형성된 게이트 구조물을 가진 기판을 지지하기 위해 상기 하우징내에 위치한 기판 홀더;
    (B) 상기 기판을 상기 진공 챔버 내부로 이동시키고 상기 기판 홀더상에 상기 기판을 위치시키기 위한 기판 이동 시스템;
    (C) 게이트 구조물을 형성하도록 상기 기판 상부에 층을 증착시키기 위해 상기 진공 챔버 내부로 처리 기체를 유입시키기 위한 기체 전달 시스템;
    (D) 상기 진공 챔버 내부에서 선택된 온도를 유지하기 위한 온도 제어 시스템과, 및 상기 진공 챔버 내부에서 선택된 압력을 유지하기 위한 압력 제어 시스템;
    (E) 상기 챔버에 RF 에너지를 공급하기 위한 RF 전원;
    (F) 상기 기판 이동 시스템, 상기 기체 전달 시스템, 상기 온도 제어 시스템, 상기 압력 제어 시스템 및 상기 RF 전원을 제어하기 위한 시스템 제어기; 및
    (G) 화학 기상 증착 반응로 시스템의 동작을 지시하기 위해 컴퓨터-판독 가능 프로그램이 내장된 컴퓨터-판독 가능 매체를 포함하고 상기 시스템 제어기에 결합된 메모리를 포함하며, 상기 컴퓨터-판독 가능 프로그램은:
    (i) 상기 진공 챔버내에 증착 플라즈마를 형성하여 텅스텐 질화물 확산 배리어가 부분적으로 형성된 게이트 구조물상에 증착되도록 상기 기체 전달 시스템, 상기 압력 제어 시스템 및 상기 RF 전원을 제어하기 위한 제 1 명령 세트; 및
    (ii) 상기 확산 배리어의 상부에 텅스텐층을 증착시켜 상기 게이트 구조물의 게이트 전극에 전기 접속부를 형성하기 위해, 상기 텅스텐층의 증착을 위해 선택된 온도 및 압력을 상기 진공 챔버 내에서 유지하도록 상기 기체 전달 시스템, 상기 온도 제어 시스템 및 상기 압력 제어 시스템을 제어하기 위한 제 2 명령 세트를 구비하며,
    상기 제 1 명령 세트는:
    (a) 수소 및 질소 기체를 함유한 제 1 기체 조성물을 제공하기 위한 상기 기체 제어 시스템에 대한 명령 세트;
    (b) 가공 플라즈마를 형성하도록 상기 제 1 기체 조성물에 에너지를 공급하기 위한 상기 RF 전원에 대한 명령 세트; 및
    (c) 증착 플라즈마를 형성하도록 텅스텐 헥사플루오라이드를 함유하는 제 2 기체 조성물을 제공하기 위한 상기 기체 제어 시스템에 대한 명령 세트를 포함하며,
    상기 제 2 명령 세트는:
    (a) 상기 기판 홀더 위로 및 상기 증착 영역 내부로 상기 기판을 이동시키도록 상기 기판 이동 시스템을 제어하기 위한 제 1 명령 세트;
    (b) 제 1 증착 단계 동안 텅스텐-함유 소스, Ⅲ족 또는 Ⅴ족 수소화물 및 환원제를 포함하는 처리 기체를 상기 증착 영역으로 유입시키도록 상기 기체 전달 시스템을 제어하기 위한 제 2 명령 세트;
    (c) 상기 제 1 증착 단계 동안 상기 확산 배리어의 상부에 제 1 텅스텐층을 증착시키기 위해 선택된 온도 및 압력 - 상기 압력은 제 1 압력 레벨 이하로 유지됨 - 을 상기 진공 챔버 내에서 유지하도록 상기 온도 제어 시스템 및 상기 압력 제어 시스템을 제어하기 위한 제 3 명령세트;
    (d) 상기 제 1 증착 단계 다음의 제 2 증착 단계 동안 상기 증착 영역 내부로의 Ⅲ족 또는 Ⅴ족 수소화물 및 텅스텐-함유 소스의 유입을 중단하도록 상기 기체 전달 시스템을 제어하기 위한 제 4 명령 세트;
    (e) 상기 증착 영역 내의 압력을 상기 제 1 압력 레벨 이상의 제 2 압력 레벨로 증가시키도록 상기 압력 제어 시스템을 제어하기 위한 제 5 명령 세트; 및
    (f) 상기 압력이 상기 제 2 압력 레벨로 증가된 이후 상기 기판 상에 제 2 텅스텐 막 층을 증착시키기 위해 상기 텅스텐-함유 소스의 유입을 재개하도록 상기 기체 전달 시스템을 제어하기 위한 제 6 명령 세트를 포함하는,
    게이트 전극 접속 구조물을 형성하기 위한 기판 처리 시스템.
  35. 삭제
  36. 삭제
KR1020017000614A 1998-07-14 1999-07-13 텅스텐 및 텅스텐 질화물의 인 시튜 화학기상증착에 의해개선된 게이트 전극 결합 구조물 KR100693612B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/114,839 US6162715A (en) 1997-06-30 1998-07-14 Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US09/114,839 1998-07-14

Publications (2)

Publication Number Publication Date
KR20010070961A KR20010070961A (ko) 2001-07-28
KR100693612B1 true KR100693612B1 (ko) 2007-03-14

Family

ID=22357703

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017000614A KR100693612B1 (ko) 1998-07-14 1999-07-13 텅스텐 및 텅스텐 질화물의 인 시튜 화학기상증착에 의해개선된 게이트 전극 결합 구조물

Country Status (6)

Country Link
US (2) US6162715A (ko)
EP (1) EP1103068A1 (ko)
JP (1) JP2002520876A (ko)
KR (1) KR100693612B1 (ko)
TW (1) TW439123B (ko)
WO (1) WO2000004574A1 (ko)

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6872429B1 (en) * 1997-06-30 2005-03-29 Applied Materials, Inc. Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US6827976B2 (en) * 1998-04-29 2004-12-07 Unaxis Trading Ag Method to increase wear resistance of a tool or other machine component
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
US6303972B1 (en) 1998-11-25 2001-10-16 Micron Technology, Inc. Device including a conductive layer protected against oxidation
US7067861B1 (en) 1998-11-25 2006-06-27 Micron Technology, Inc. Device and method for protecting against oxidation of a conductive layer in said device
US6331483B1 (en) * 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
US6737716B1 (en) * 1999-01-29 2004-05-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6329670B1 (en) * 1999-04-06 2001-12-11 Micron Technology, Inc. Conductive material for integrated circuit fabrication
KR100294697B1 (ko) * 1999-06-16 2001-07-12 김영환 반도체 소자의 전도성 라인 형성 방법
US6319737B1 (en) * 1999-08-10 2001-11-20 Advanced Micro Devices, Inc. Method and apparatus for characterizing a semiconductor device
US6635939B2 (en) 1999-08-24 2003-10-21 Micron Technology, Inc. Boron incorporated diffusion barrier material
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
US6726955B1 (en) * 2000-06-27 2004-04-27 Applied Materials, Inc. Method of controlling the crystal structure of polycrystalline silicon
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
KR100351907B1 (ko) * 2000-11-17 2002-09-12 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성방법
US6482477B1 (en) * 2000-11-28 2002-11-19 Tokyo Electron Limited Method for pretreating dielectric layers to enhance the adhesion of CVD metal layers thereto
ATE302294T1 (de) 2000-12-28 2005-09-15 Ami Semiconductor Belgium Bvba Verfahren zur chemischen dampfablagerung von wolfram auf einem halbleitersubstrat
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
TWI253478B (en) * 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
WO2003049197A1 (fr) 2001-12-06 2003-06-12 Japan Science And Technology Agency Dispositif de calcul du quantum de spins nucleaires dans un solide
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20040155268A1 (en) * 2003-02-06 2004-08-12 Infineon Technologies North America Corp. Method and apparatus for improving the electrical resistance of conductive paths
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7023064B2 (en) * 2004-06-16 2006-04-04 International Business Machines Corporation Temperature stable metal nitride gate electrode
US7084024B2 (en) * 2004-09-29 2006-08-01 International Business Machines Corporation Gate electrode forming methods using conductive hard mask
KR100596794B1 (ko) * 2004-11-30 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
WO2006100457A1 (en) * 2005-03-22 2006-09-28 Aviza Technology Limited A method of forming a bragg reflector stack
JP4738178B2 (ja) * 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
US7235485B2 (en) * 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR100884339B1 (ko) * 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US9076852B2 (en) * 2007-01-19 2015-07-07 International Rectifier Corporation III nitride power device with reduced QGD
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20090032949A1 (en) * 2007-08-02 2009-02-05 Micron Technology, Inc. Method of depositing Tungsten using plasma-treated tungsten nitride
KR100939777B1 (ko) * 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US8679970B2 (en) 2008-05-21 2014-03-25 International Business Machines Corporation Structure and process for conductive contact integration
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
JP2011166160A (ja) * 2011-03-22 2011-08-25 Tokyo Electron Ltd 積層膜の形成方法
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
CN103839806B (zh) * 2012-11-20 2018-02-13 中国科学院微电子研究所 半导体器件及其制造方法
US9595470B2 (en) * 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
TWI732846B (zh) * 2016-04-25 2021-07-11 美商應用材料股份有限公司 透過控制前驅物混合來強化金屬的空間ald
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
US10510590B2 (en) 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
TWI713961B (zh) 2018-01-15 2020-12-21 美商應用材料股份有限公司 針對碳化鎢膜改善附著及缺陷之技術
US20210082696A1 (en) * 2018-03-01 2021-03-18 Applied Materials, Inc. Systems and methods of formation of a metal hardmask in device fabrication
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
KR102553296B1 (ko) * 2019-12-12 2023-07-10 주식회사 원익아이피에스 박막 형성 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US11976002B2 (en) 2021-01-05 2024-05-07 Applied Materials, Inc. Methods for encapsulating silver mirrors on optical structures

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0299245A1 (en) * 1987-07-16 1989-01-18 Texas Instruments Incorporated Processing apparatus and method
US5733816A (en) * 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56156760A (en) * 1980-05-06 1981-12-03 Shunpei Yamazaki Method and apparatus for forming coat
JPS5821324A (ja) * 1981-07-30 1983-02-08 Agency Of Ind Science & Technol 水素添加した半導体薄膜成長用金属表面基板の前処理方法
JPH0711854B2 (ja) * 1984-12-30 1995-02-08 ティーディーケイ株式会社 磁気記録媒体
DE3516078A1 (de) * 1985-05-04 1986-11-06 Philips Patentverwaltung Gmbh, 2000 Hamburg Verfahren zur glimmentladungsaktivierten reaktiven abscheidung von elektrisch leitendem material aus einer gasphase
US4766006A (en) * 1986-05-15 1988-08-23 Varian Associates, Inc. Low pressure chemical vapor deposition of metal silicide
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63317676A (ja) * 1987-06-19 1988-12-26 Sharp Corp 無粒構造金属化合物薄膜の製造方法
JPS645015A (en) * 1987-06-26 1989-01-10 Sharp Kk Manufacture of integrated circuit element
DE3738993A1 (de) * 1987-11-17 1989-05-24 Philips Patentverwaltung Verfahren zur glimmentladungsaktivierten reaktiven abscheidung von metall aus einer gasphase
DE3800712A1 (de) * 1988-01-13 1989-07-27 Philips Patentverwaltung Verfahren zur plasmaaktivierten reaktiven abscheidung von elektrisch leitendem mehrkomponentenmaterial aus einer gasphase
JP2624736B2 (ja) * 1988-01-14 1997-06-25 株式会社東芝 半導体装置の製造方法
KR0145302B1 (ko) * 1988-04-28 1998-08-17 카자마 젠쥬 얇은 막의 형성방법
US5232872A (en) * 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
JPH03191520A (ja) * 1989-12-20 1991-08-21 Nec Corp 気相成長法
FR2664294B1 (fr) * 1990-07-06 1992-10-23 Plasmametal Procede de metallisation d'une surface.
JPH0474865A (ja) * 1990-07-12 1992-03-10 Fujitsu Ltd 半導体装置の製造方法
DE4034842A1 (de) * 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
DE4113085A1 (de) * 1991-04-22 1992-10-29 Philips Patentverwaltung Verfahren zur herstellung eines gluehkathodenelements
KR930011538B1 (ko) * 1991-07-16 1993-12-10 한국과학기술연구원 실리콘 반도체소자의 금속배선 형성용 텅스텐 질화박막 증착방법
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH07142411A (ja) * 1993-03-25 1995-06-02 Nippon Steel Corp 半導体装置における金属薄膜形成方法
KR100326488B1 (ko) * 1993-06-21 2002-06-20 조셉 제이. 스위니 플라즈마화학기상증착법
JP3351635B2 (ja) * 1993-12-28 2002-12-03 株式会社東芝 半導体装置およびその製造方法
KR0179677B1 (ko) * 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3358328B2 (ja) * 1994-10-27 2002-12-16 ソニー株式会社 高融点金属膜の成膜方法
US5576071A (en) * 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JP3369827B2 (ja) * 1995-01-30 2003-01-20 株式会社東芝 半導体装置及びその製造方法
JPH08264530A (ja) * 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
US5780908A (en) * 1995-05-09 1998-07-14 Matsushita Electric Industrial Co., Ltd. Semiconductor apparatus with tungstein nitride
KR970052931A (ko) * 1995-12-11 1997-07-29 김광호 텅스텐 질화박막 형성방법 및 이를 이용한 금속배선 형성방법
US5786256A (en) * 1996-07-19 1998-07-28 Advanced Micro Devices, Inc. Method of reducing MOS transistor gate beyond photolithographically patterned dimension
KR100198652B1 (ko) * 1996-07-31 1999-06-15 구본준 반도체 소자의 전극형성방법
TW365685B (en) * 1996-10-31 1999-08-01 Texas Instruments Inc Low-temperature processes for depositing barrier films containing tungsten and nitrogen
US5710070A (en) * 1996-11-08 1998-01-20 Chartered Semiconductor Manufacturing Pte Ltd. Application of titanium nitride and tungsten nitride thin film resistor for thermal ink jet technology
FR2756663B1 (fr) * 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5925918A (en) * 1997-07-30 1999-07-20 Micron, Technology, Inc. Gate stack with improved sidewall integrity
US5913145A (en) * 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5962904A (en) * 1997-09-16 1999-10-05 Micron Technology, Inc. Gate electrode stack with diffusion barrier
US6015727A (en) * 1998-06-08 2000-01-18 Wanlass; Frank M. Damascene formation of borderless contact MOS transistors

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0299245A1 (en) * 1987-07-16 1989-01-18 Texas Instruments Incorporated Processing apparatus and method
US5733816A (en) * 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon

Also Published As

Publication number Publication date
EP1103068A1 (en) 2001-05-30
US6251190B1 (en) 2001-06-26
TW439123B (en) 2001-06-07
JP2002520876A (ja) 2002-07-09
WO2000004574A1 (en) 2000-01-27
KR20010070961A (ko) 2001-07-28
US6162715A (en) 2000-12-19

Similar Documents

Publication Publication Date Title
KR100693612B1 (ko) 텅스텐 및 텅스텐 질화물의 인 시튜 화학기상증착에 의해개선된 게이트 전극 결합 구조물
US6206967B1 (en) Low resistivity W using B2H6 nucleation step
KR100990012B1 (ko) 단일 웨이퍼 저압 화학 기상 증착을 이용한 실리콘 산화물및 옥시나이트라이드 증착 방법
US9548228B2 (en) Void free tungsten fill in different sized features
KR102185346B1 (ko) 상이한 크기의 피처들 내에서의 무보이드 텅스텐 충진
US6348420B1 (en) Situ dielectric stacks
US7651953B2 (en) Method to form ultra high quality silicon-containing compound layers
US6833322B2 (en) Apparatuses and methods for depositing an oxide film
US7473655B2 (en) Method for silicon based dielectric chemical vapor deposition
US10480095B2 (en) System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
EP1122775A2 (en) Treatment of a metal nitride/metal stack
US20040175893A1 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
EP1601011A1 (en) A method for forming a sidewall spacer for a metal oxide semi-conductor device
EP0981656A1 (en) Low resistivity w using b 2?h 6?
WO2002097864A2 (en) Low temperature load and bake
US20080075888A1 (en) Reduction of hillocks prior to dielectric barrier deposition in cu damascene
JP2008523640A (ja) 深トレンチのドープシリコン充填のプロセスシーケンス
KR20010014314A (ko) 화학 기상 증착 챔버에서 플라즈마 예비처리를 통한질화텅스텐의 개선된 증착
US6726955B1 (en) Method of controlling the crystal structure of polycrystalline silicon
KR20010053459A (ko) 비정질 및 다결정 실리콘 게르마늄 합금 박막 형성 방법및 장치
Mak et al. Low resistivity W using B 2 H 6 nucleation step

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee