KR100596794B1 - 반도체 소자의 금속 배선 형성방법 - Google Patents

반도체 소자의 금속 배선 형성방법 Download PDF

Info

Publication number
KR100596794B1
KR100596794B1 KR1020040099138A KR20040099138A KR100596794B1 KR 100596794 B1 KR100596794 B1 KR 100596794B1 KR 1020040099138 A KR1020040099138 A KR 1020040099138A KR 20040099138 A KR20040099138 A KR 20040099138A KR 100596794 B1 KR100596794 B1 KR 100596794B1
Authority
KR
South Korea
Prior art keywords
film
tungsten
depositing
tungsten film
deposited
Prior art date
Application number
KR1020040099138A
Other languages
English (en)
Other versions
KR20060060215A (ko
Inventor
김수현
김준기
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020040099138A priority Critical patent/KR100596794B1/ko
Priority to US11/134,640 priority patent/US7276443B2/en
Publication of KR20060060215A publication Critical patent/KR20060060215A/ko
Application granted granted Critical
Publication of KR100596794B1 publication Critical patent/KR100596794B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Abstract

본 발명은 소자의 동작 속도를 증가시킬 수 있는 반도체 소자의 금속 배선 형성방법에 관한 것이다. 이 방법은, 실리콘 기판 상에 기판의 소정 부분을 노출시키는 콘택홀을 구비하는 층간 절연막을 증착하는 1 단계; 상기 콘택홀을 포함한 층간 절연막 상에 배리어막을 증착하는 2 단계; 상기 배리어막 상에 SiH4를 반응 가스로 이용하여 제 1 텅스텐막을 증착하는 3 단계; 상기 제 1 텅스텐막 상에 B2H6를 반응 가스로 이용하여 제 2 텅스텐막을 증착하는 4 단계; 상기 제 2 텅스텐막 상에 제 3 텅스텐막을 증착하여 상기 콘택홀을 매립시키는 5 단계; 및 상기 제 3, 제 2 및 제 1 텅스텐막 및 배리어막을 선택적으로 식각하여 금속 배선을 형성하는 6 단계;를 포함한다.

Description

반도체 소자의 금속 배선 형성방법{Method for forming metal line in semiconductor device}
도 1a 내지 도 1b는 종래기술에 따른 텅스텐 배선 형성방법을 설명하기 위한 공정별 단면도.
도 2a 내지 도 2e는 본 발명에 따른 텅스텐 배선 형성방법을 설명하기 위한 공정별 단면도.
도 3의 (a) 및 (b)는 각각 SiH4 및 B2H6를 반응 가스로 이용하여 증착된 ALD-텅스텐막 상에서 성장된 텅스텐 벌크층의 구조를 보여주는 SEM 사진.
4는 텅스텐의 종류 및 두께에 따른 ALD-텅스텐막/텅스텐 벌크층 적층막의 비저항을 나타내는 그래프.
* 도면의 주요 부분에 대한 부호의 설명 *
20: 실리콘 기판 21: 층간 절연막
22: 콘택홀 23: 배리어막
24: TiSiX막 25: 제 1 텅스텐막
26: 제 2 텅스텐막 27: 제 3 텅스텐막
23a: 식각후 잔류된 배리어막 25a: 식각후 잔류된 제 1 텅스텐막
26a: 식각후 잔류된 제 2 텅스텐막 27a: 식각후 잔류된 제 3 텅스텐막
28: 금속 배선
본 발명은 반도체 소자의 제조방법에 관한 것이고, 특히, 소자의 동작 속도를 증가시킬 수 있는 반도체 소자의 금속 배선 형성방법에 관한 것이다.
공지된 바와 같이, 금속 배선의 재질로서는 알루미늄 또는 텅스텐이 주로 사용되어 왔다. 특히, 화학 기상 증착(chemical vapor deposition: CVD) 방법으로 증착되는 텅스텐막은 매립 특성이 우수할 뿐만 아니라, 낮은 비저항을 갖기 때문에, 고집적 소자의 제조에 많이 이용되고 있다.
도 1a 내지 도 1b는 종래기술에 따른 텅스텐 배선 형성방법을 설명하기 위한 공정별 단면도이다.
도 1a에 도시한 바와 같이, 소정의 하부 구조가 구비된 실리콘 기판(10) 상에 층간 절연막(11)이 형성되고, 층간 절연막(11)을 선택적으로 식각함으로써, 기판(10)의 소정 부분을 노출시키는 콘택홀(12)이 형성된다. 이어서, 콘택홀(12)을 포함한 층간 절연막(11) 상에 배리어막(13)이 형성된다. 배리어막(13)은 Ti막 및 TiN막이 차례로 적층된 구조를 갖는다. 그런다음, 배리어막(13)이 형성된 기판에 대한 급속 열처리 공정을 수행함으로써, 배리어막(13) 및 기판(10) 간의 계면에 TiSiX막(14)이 형성된다.
그리고 나서, 배리어막(13) 상에 제 1 텅스텐막(15)이 형성된다. 제 1 텅스텐막(15)은 WF6를 소스 가스로 이용하고, SiH4를 반응 가스로 이용하여 형성된다. 이어서, 콘택홀(12)을 매립하도록 제 1 텅스텐막(15) 상에 제 2 텅스텐막(16), 즉 텅스텐 벌크층이 형성된다. 제 2 텅스텐막(16)은 WF6를 소스 가스로 이용하고, H2 가스를 반응 가스로 이용하여 형성된다.
도 1b에 도시한 바와 같이, 제 2, 제 1 텅스텐막(16,15) 및 배리어막(13)을 선택적으로 식각함으로써, 금속 배선(17)이 형성된다. 미설명한 도면부호 13a, 15a 및 16a는 식각후 잔류된 배리어막, 제 1 텅스텐막 및 제 2 텅스텐막을 각각 나타낸다.
그러나, 이러한 종래기술에 따른 반도체 소자의 금속 배선 형성방법에 있어서는, 금속 배선(17a)의 재료로서 낮은 비저항을 갖는 텅스텐이 사용된다 하더라도, 소자의 집적도가 계속적으로 증가됨에 따라, 금속 배선(17a)의 저항을 낮추는 데에는 한계가 있어서, 소자의 동작 속도가 감소되는 문제가 있었다.
따라서, 본 발명은 선행기술에 따른 반도체 소자의 금속 배선 형성방법에 내재되었던 상기한 바와 같은 문제점을 해결하기 위해 창작된 것으로서, 본 발명의 목적은, 소자의 고집적화에 대응하여 금속 배선의 저항을 감소시킴으로써, 소자의 동작 속도를 증가시킬 수 있는 반도체 소자의 금속 배선 형성방법을 제공함에 있 다.
상기 목적을 달성하기 위해, 본 발명의 일면에 따라, 반도체 소자의 도전 플러그 형성방법이 제공되고: 이 방법은, 실리콘 기판 상에 기판의 소정 부분을 노출시키는 콘택홀을 구비하는 층간 절연막을 증착하는 1 단계; 상기 콘택홀을 포함한 층간 절연막 상에 배리어막을 증착하는 2 단계; 상기 배리어막 상에 SiH4를 반응 가스로 이용하여 제 1 텅스텐막을 증착하는 3 단계; 상기 제 1 텅스텐막 상에 B2H6를 반응 가스로 이용하여 제 2 텅스텐막을 증착하는 4 단계; 상기 4 단계 후 상기 3 단계 및 4 단계를 순차 수행하는 증착 사이클을 원하는 두께의 막이 얻어질 때까지 반복 수행하는 4-1 단계; 상기 제 2 텅스텐막 상에 제 3 텅스텐막을 증착하여 상기 콘택홀을 매립시키는 5 단계; 및 상기 제 3, 제 2 및 제 1 텅스텐막 및 배리어막을 선택적으로 식각하여 금속 배선을 형성하는 6 단계;를 포함한다.
본 발명의 다른 일면에 따라, 상기 제 1 및 제 2 텅스텐막은 ALD 방법 또는 CVD 방법으로 증착된다.
본 발명의 다른 일면에 따라, 상기 제 1 및 제 2 텅스텐막은 1~10 ㎚의 두께로 증착된다.
본 발명의 다른 일면에 따라, 상기 제 2 텅스텐막을 증착하는 4 단계; 후, 상기 3 단계 및 4 단계를 순차 수행하는 증착 사이클을 원하는 두께의 막이 얻어질 때까지 반복 수행하는 단계;를 추가로 구비한다.
본 발명의 다른 일면에 따라, 상기 제 3 텅스텐막은 H2, SiH4, 및 H2와 SiH4 의 혼합 가스로 구성되는 군으로부터 선택되는 어느 하나를 반응 가스로 이용하여 증착된다.
본 발명의 또 다른 일면에 따라, 상기 제 1, 제 2 및 제 3 텅스텐막은, W를 포함하는 가스를 소스 가스로 이용하고, 상기 W를 포함하는 가스로서, WF6, WCl6, WBr6, W(Co)6, W(C2H2)6, W(PF3)6 , W(allyl)4, (C2H5)WH2, [CH3(C5H 4)]2WH2, (C2H5)W(CO)3(CH3), W(부타디엔)3, W(메틸비닐-케톤) 3, (C5H5)HW(CO)3, (C7H8)W(CO)3 및 (1, 5-COD)W(CO)4로 구성된 군으로부터 선택되는 어느 하나를 이용한다.
(실시예)
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 상술하기로 한다.
도 2a 내지 도 2e는 본 발명에 따른 텅스텐 배선 형성방법을 설명하기 위한 공정별 단면도이다.
도 2a에 도시한 바와 같이, 소정의 하부 구조가 구비된 실리콘 기판(20) 상에 층간 절연막(21)이 증착되고, 층간 절연막(21)을 선택적으로 식각함으로써, 기판(20)의 소정 부분을 노출시키는 콘택홀(22)이 형성된다. 이어서, 콘택홀(22)을 포함한 층간 절연막(21) 상에 배리어막(23)이 증착된다. 배리어막(23)은 Ti막 및 TiN막이 차례로 적층된 구조를 갖는다. 그런다음, 배리어막(23)이 형성된 기판에 대한 급속 열처리 공정을 수행함으로써, 배리어막(23) 및 기판(20) 간의 계면에 TiSiX막(24)이 형성된다.
도 2b에 도시한 바와 같이, 배리어막(23) 상에 WF6를 소스 가스로 이용하고, SiH4를 반응 가스로 이용하여 제 1 텅스텐막(25)이 증착된다. 제 1 텅스텐막(25)은 ALD(atomic layer deposition) 방법을 이용하여, 1~10 ㎚의 두께로 증착된다. 이때, ALD 방법을 이용한 제 1 텅스텐막(25)의 증착은, SiH4 가스 공급 공정, 1차 퍼지 공정, WF6 가스 공급 공정 및 2차 퍼지 공정을 순차 수행하는 증착 사이클을 원하는 두께의 막이 얻어질 때까지 반복 수행하는 방식으로 진행한다. 제 1 텅스텐막(25)의 반응 가스인 SiH4는, 가스 상태로 공급되거나, 플라즈마 방식을 이용하여 공급된다. 제 1 텅스텐막(25)의 반응 가스로서, SiH4 대신에 Si2H6가 이용될 수도 있다.
도 2c에 도시한 바와 같이, 제 1 텅스텐막(25) 상에 WF6를 소스 가스로 이용하고, B2H6를 반응 가스로 이용하여 제 2 텅스텐막(26)이 증착된다. 제 2 텅스텐막(26)은 ALD 방법을 이용하여, 1~10 ㎚의 두께로 증착된다. 이러한 ALD 방법을 이용한 제 2 텅스텐막(26)의 증착은, B2H6 가스 공급 공정, 1차 퍼지 공정, WF6 가스 공급 공정 및 2차 퍼지 공정을 순차 수행하는 증착 사이클을 원하는 두께의 막이 얻어질 때까지 반복 수행하는 방식으로 진행한다. 제 2 텅스텐막(26)의 반응 가스인 B2H6는, 가스 상태로 공급되거나, 플라즈마 방식을 이용하여 공급된다. 그리고, 제 2 텅스텐막(26)을 증착한 후에, 상기 제 1 및 제 2 텅스텐막(25,26)을 차례로 증착하는 사이클을 원하는 두께의 막이 얻어질 때까지 반복 수행할 수도 있다. 한편, 상기 제 1 및 제 2 텅스텐막(25,26)은 CVD 방법으로 증착될 수도 있다.
도 2d에 도시한 바와 같이, 제 2 텅스텐막(26) 상에 CVD법에 의해 제 3 텅스텐막(27), 즉 텅스텐 벌크층을 증착하여 상기 콘택홀(22)을 매립시킨다. 제 3 텅스텐막(27)은 WF6를 소스 가스로 이용하고, H2 가스, SiH4 가스, 및 H 2와 SiH4의 혼합 가스로 구성되는 군으로부터 선택되는 어느 하나를 반응 가스로 이용하여 증착된다. 한편, 상기 제 1, 제 2 및 제 3 텅스텐막(25,26,27)의 소스 가스로서, WF6 대신에 WCl6, WBr6, W(Co)6, W(C2H2)6, W(PF3)6, W(allyl)4, (C2H5)WH2, [CH 3(C5H4)]2WH2, (C2H5)W(CO)3(CH3), W(부타디엔)3, W(메틸비닐-케톤) 3, (C5H5)HW(CO)3, (C7H8)W(CO)3 및 (1, 5-COD)W(CO)4로 구성된 군으로부터 선택되는 어느 하나가 이용될 수도 있다.
도 2e에 도시한 바와 같이, 제 3, 제 2, 제 1 텅스텐막(27,26,25) 및 배리어막(23)을 선택적으로 식각함으로써, 금속 배선(28)이 형성된다. 미설명한 도면부호 23a, 25a, 26a 및 27a는 식각후 잔류된 배리어막, 제 1 텅스텐막, 제 2 텅스텐막 및 제 3 텅스텐막을 각각 나타낸다.
상기와 같이, SiH4를 반응 가스로 이용하여 증착된 제 1 텅스텐막(25) 상에, B2H6를 반응 가스로 이용하여 제 2 텅스텐막(26)을 증착한 다음, 제 2 텅스텐막(26) 상에 제 3 텅스텐막(27), 즉 텅스텐 벌크층을 증착함으로써, 이 텅스텐 벌크층이 종래의 기술에 따라 증착되는 텅스텐 벌크층에 비해 큰 결정립 크기를 갖게되며, 그 이유는 다음과 같다.
도 3의 (a)는 SiH4를 반응 가스로 이용하여 ALD 방법으로 증착된 텅스텐막(이하, ALD-텅스텐막) 상에서 CVD 방법으로 성장된 텅스텐 벌크층(이하, CVD-텅스텐 벌크층)의 구조를 보여주는 SEM 사진이고, 도 3의 (b)는 B2H6를 반응 가스로 이용하여 증착된 ALD-텅스텐막 상에서 성장된 CVD-텅스텐 벌크층의 구조를 보여주는 SEM 사진이며, 4는 텅스텐의 종류 및 두께에 따른 ALD-텅스텐막/CVD-텅스텐 벌크층 적층막의 비저항을 나타내는 그래프이다.
도 3의 (a) 및 (b)로부터 알 수 있듯이, B2H6를 반응 가스로 이용하여 증착된 ALD-텅스텐막 상에서 성장한 텅스텐 벌크층의 결정립 크기가, SiH4를 반응 가스로 이용하여 증착된 ALD-텅스텐막 상에서 성장한 텅스텐 벌크층의 결정립 크기에 비해서 매우 크다. 이러한 큰 결정립의 형성은, 도 4에 도시한 바와 같이, 동일한 두께에서 텅스텐막의 비저항 감소를 가져오게 된다. 예컨대, 10 ㎚의 ALD-텅스텐막/200 ㎚의 텅스텐 벌크층 적층막의 비저항은, B2H6를 이용하여 증착된 ALD-텅스텐막 상에서 텅스텐 벌크층이 성장되는 경우, SiH4를 이용하여 증착된 ALD-텅스텐막 상에서 텅스텐 벌크층이 성장되는 경우에 비해, 20 % 정도 감소된다.
한편, B2H6를 반응 가스로 이용하여 ALD-텅스텐막이 증착되는 경우 2.5~3 Å/cycle의 속도로 증착되고, SiH4를 반응 가스로 이용하여 ALD-텅스텐막이 증착되는 경우 10 Å/cycle 정도의 속도로 증착된다. 즉, B2H6를 이용하여 ALD-텅스텐막이 증착될 경우에는, SiH4를 이용할 경우보다 그 증착 속도가 매우 느리다는 단점이 있다. 이에 따라, 본 발명에서는 SiH4를 이용한 제 1 텅스텐막(25) 및 B2H6를 이용한 제 2 텅스텐막(26)을 차례로 증착한 다음, 제 2 텅스텐막(26) 상에 텅스텐 벌크층인 제 3 텅스텐막(27)을 증착함으로써, 종래에 SiH4을 이용하여 텅스텐막을 증착한 후, 이 텅스텐막 상에 텅스텐 벌크층을 증착하는 경우에 비해, 큰 결정립을 갖는 금속 배선(28)을 형성할 수 있다. 그러므로, 금속 배선(28)의 저항을 감소시킬 수 있다. 또한, 빠른 증착 속도를 갖는 SiH4을 초기에 이용하고, 그 다음에 B2H6 를 이용하여 제 1 및 제 2 텅스텐막(25,26)을 각각 증착함으로써, B2H6 만을 이용하는 경우보다 원하는 두께의 텅스텐막을 빠르게 얻을 수 있다.
본 발명의 상기한 바와 같은 구성에 따라, SiH4 및 B2H6를 각각 반응 가스로 이용하여 제 1 및 제 2 텅스텐막을 차례로 증착한 다음, 제 2 텅스텐막 상에 텅스텐 벌크층을 증착함으로써, 이들 적층막(제 1,제 2 텅스텐막/텅스텐 벌크층)의 결정립 크기를 증가시킬 수 있다. 이에 따라, 상기 적층막 구조를 갖는 금속 배선의 저항을 감소시킬 수 있으므로, 소자의 고집적화에 대응하여 소자의 동작 속도를 증가시킬 수 있다.
본 발명을 특정의 바람직한 실시예에 관련하여 도시하고 설명하였지만, 본 발명이 그에 한정되는 것은 아니고 이하의 특허청구의 범위에 의해 마련되는 본 발명의 정신이나 분야를 이탈하지 않는 한도 내에서 본 발명이 다양하게 개조 및 변화될 수 있다는 것을 당 업계에서 통상의 지식을 가진 자는 용이하게 알 수 있다.

Claims (6)

  1. 반도체 소자의 금속 배선 형성방법에 있어서,
    실리콘 기판 상에 기판의 소정 부분을 노출시키는 콘택홀을 구비하는 층간 절연막을 증착하는 1 단계;
    상기 콘택홀을 포함한 층간 절연막 상에 배리어막을 증착하는 2 단계;
    상기 배리어막 상에 SiH4를 반응 가스로 이용하여 제 1 텅스텐막을 증착하는 3 단계;
    상기 제 1 텅스텐막 상에 B2H6를 반응 가스로 이용하여 제 2 텅스텐막을 증착하는 4 단계;
    상기 4 단계 후 상기 3 단계 및 4 단계를 순차 수행하는 증착 사이클을 원하는 두께의 막이 얻어질 때까지 반복 수행하는 4-1 단계;
    상기 제 2 텅스텐막 상에 제 3 텅스텐막을 증착하여 상기 콘택홀을 매립시키는 5 단계; 및
    상기 제 3, 제 2 및 제 1 텅스텐막 및 배리어막을 선택적으로 식각하여 금속 배선을 형성하는 6 단계;를 포함하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성방법.
  2. 제 1 항에 있어서,
    상기 제 1 및 제 2 텅스텐막은 ALD 방법 또는 CVD 방법으로 증착되는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서,
    상기 제 1 및 제 2 텅스텐막은 1~10 ㎚의 두께로 증착되는 것을 특징으로 하는 방법.
  4. 삭제
  5. 제 1 항에 있어서,
    상기 제 3 텅스텐막은 H2, SiH4, 및 H2와 SiH4의 혼합 가스로 구성되는 군으로부터 선택되는 어느 하나를 반응 가스로 이용하여 증착되는 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서,
    상기 제 1, 제 2 및 제 3 텅스텐막은, W를 포함하는 가스를 소스 가스로 이용하고, 상기 W를 포함하는 가스로서, WF6, WCl6, WBr6, W(Co)6, W(C2H2)6, W(PF3)6, W(allyl)4, (C2H5)WH2, [CH3(C5H4 )]2WH2, (C2H5)W(CO)3(CH3), W(부타디엔) 3, W(메틸비닐- 케톤)3, (C5H5)HW(CO)3, (C7H8)W(CO) 3 및 (1, 5-COD)W(CO)4로 구성된 군으로부터 선택되는 어느 하나를 이용하는 것을 특징으로 하는 방법.
KR1020040099138A 2004-11-30 2004-11-30 반도체 소자의 금속 배선 형성방법 KR100596794B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020040099138A KR100596794B1 (ko) 2004-11-30 2004-11-30 반도체 소자의 금속 배선 형성방법
US11/134,640 US7276443B2 (en) 2004-11-30 2005-05-20 Method for forming metal wiring in semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040099138A KR100596794B1 (ko) 2004-11-30 2004-11-30 반도체 소자의 금속 배선 형성방법

Publications (2)

Publication Number Publication Date
KR20060060215A KR20060060215A (ko) 2006-06-05
KR100596794B1 true KR100596794B1 (ko) 2006-07-05

Family

ID=36567899

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040099138A KR100596794B1 (ko) 2004-11-30 2004-11-30 반도체 소자의 금속 배선 형성방법

Country Status (2)

Country Link
US (1) US7276443B2 (ko)
KR (1) KR100596794B1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100665230B1 (ko) * 2005-10-24 2007-01-09 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
US20080273410A1 (en) * 2007-05-04 2008-11-06 Jaydeb Goswami Tungsten digitlines
KR100890047B1 (ko) * 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
KR101907971B1 (ko) * 2011-07-07 2018-10-16 주식회사 원익아이피에스 반도체 소자의 콘택플러그용 금속 증착 방법
WO2014052642A1 (en) * 2012-09-28 2014-04-03 Advanced Technology Materials, Inc. Fluorine free tungsten ald/cvd process
CN104766792A (zh) * 2014-01-03 2015-07-08 中国科学院微电子研究所 具有改善粘附性能和填充性能的钨层沉积方法
KR102429608B1 (ko) 2016-08-17 2022-08-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102125513B1 (ko) * 2016-11-23 2020-06-23 주식회사 원익아이피에스 텅스텐 박막 증착 방법
KR102401177B1 (ko) 2017-08-31 2022-05-24 삼성전자주식회사 반도체 장치
CN110943034A (zh) * 2018-09-21 2020-03-31 长鑫存储技术有限公司 半导体结构的形成方法
CN117238848B (zh) * 2023-11-15 2024-02-02 合肥晶合集成电路股份有限公司 一种接触孔结构及其形成方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
KR100477813B1 (ko) 1997-12-27 2005-06-17 주식회사 하이닉스반도체 반도체장치의텅스텐금속배선형성방법
US6331483B1 (en) * 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US6686278B2 (en) * 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6905543B1 (en) * 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer

Also Published As

Publication number Publication date
US20060115977A1 (en) 2006-06-01
KR20060060215A (ko) 2006-06-05
US7276443B2 (en) 2007-10-02

Similar Documents

Publication Publication Date Title
US20060115977A1 (en) Method for forming metal wiring in semiconductor device
US9899258B1 (en) Metal liner overhang reduction and manufacturing method thereof
WO2006084825A1 (en) Nitrogen rich barrier layers and methods of fabrication thereof
KR100602087B1 (ko) 반도체 소자 및 그 제조방법
US8008774B2 (en) Multi-layer metal wiring of semiconductor device preventing mutual metal diffusion between metal wirings and method for forming the same
US7741216B2 (en) Metal line of semiconductor device and method for forming the same
KR100667905B1 (ko) 반도체 소자의 구리 금속배선 형성방법
KR100289515B1 (ko) 베리어 메탈층 및 그 형성방법
CN109994424B (zh) 用于28纳米及以下技术节点的接触孔结构中氮化钛膜的形成方法
JP4457884B2 (ja) 半導体装置
JP2010034517A (ja) 半導体装置および半導体装置の製造方法
JP2007258390A (ja) 半導体装置、および半導体装置の製造方法
JP3164152B2 (ja) 半導体装置の製造方法
KR100503965B1 (ko) 반도체 소자의 확산 방지막 형성 방법
JPH02188921A (ja) 高融点金属多層膜形成法
KR20030059489A (ko) 금속배선 확산방지막을 구비한 반도체 소자의 제조방법
US7989342B2 (en) Formation of a reliable diffusion-barrier cap on a Cu-containing interconnect element having grains with different crystal orientations
KR100571387B1 (ko) 반도체 소자의 구리 배선 제조 방법
KR20040001523A (ko) 적층된 에스아이엔을 이용한 구리확산방지막 형성방법
KR101029105B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
KR100662967B1 (ko) 실리사이드를 이용한 반도체 배선 형성방법
KR100272662B1 (ko) 반도체장치의 금속배선의 제조방법
KR20050046065A (ko) 반도체 소자의 금속배선 형성방법
KR20090069494A (ko) 반도체 소자의 금속 제조 형성 방법
KR20080061013A (ko) 반도체 소자의 다층금속배선 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120524

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee