JPS62158874A - 薄膜多層構造の形成方法 - Google Patents

薄膜多層構造の形成方法

Info

Publication number
JPS62158874A
JPS62158874A JP60298045A JP29804585A JPS62158874A JP S62158874 A JPS62158874 A JP S62158874A JP 60298045 A JP60298045 A JP 60298045A JP 29804585 A JP29804585 A JP 29804585A JP S62158874 A JPS62158874 A JP S62158874A
Authority
JP
Japan
Prior art keywords
forming
thin film
film
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP60298045A
Other languages
English (en)
Other versions
JPH0651909B2 (ja
Inventor
Masahiro Kanai
正博 金井
Junichi Hanna
純一 半那
Isamu Shimizu
勇 清水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP60298045A priority Critical patent/JPH0651909B2/ja
Priority to DE3644652A priority patent/DE3644652C2/de
Priority to US06/947,029 priority patent/US4771015A/en
Publication of JPS62158874A publication Critical patent/JPS62158874A/ja
Publication of JPH0651909B2 publication Critical patent/JPH0651909B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02425Conductive materials, e.g. metallic silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、たとえば薄膜半導体素子、光起電力素子、電
子写真用の感光デバイス等の薄膜多層構造およびその形
成方法に関する。
〔従来の技術〕
従来、機能性膜、殊に非晶質乃至多結晶質の半導体膜は
、所望される物理的特性や用途等の観点から個々に適し
た成膜方法が採用されている。
例えば、必要に応じて、水素原子(H)やハロゲン原子
(X)等の補償剤で不対電子が補償された非晶質や多結
晶質の非単結晶シリコン(以後rNON−3i  (H
,X)Jと略記し、その中でも殊に非晶質リシコンを示
す場合にはrA−3i(H,X)J、多結晶質シリコン
を示す場合にはrpoly−3i  (H,X) Jと
記す)膜等のシリコン系堆積膜(尚、俗に言う微結晶シ
リコンはA−3i  (H,X)の範嗜にはいることは
断るまでもない)の形成には、真空蒸着法、プラズマC
VD法、熱CVD法、反応スパッタリング法、イオンブ
レーティング法、光CVD法などが試みられており、一
般的には、プラズマCVD法が広く用いられ、企業化さ
れている。
〔発明が解決しようとする問題点〕
百年ら、従来から一般化されているプラズマCVD法に
よるシリコン系堆積膜の形成に於ての反応プロセスは、
従来のCVD法に比較してかなり複雑であり、その反応
機構も不明な点が少なくない。又、その堆積膜の形成パ
ラメータも多く(例えば、基体温度、導入ガスの流量と
比、形成時の圧力、高周波電力、電極構造、反応容器の
構造、排気の速度、プラズマ発生方式など)これらの多
くのパラメータの組み合せによるため、時にはプラズマ
が不安定な状態になり、形成された堆積膜に著しい悪影
響を与えることが少なくなかった。そのうえ、装置特有
のパラメータを装置ごとに選定しなければならず、した
がって製造条件を一般化することがむずかしいというの
が実状であうた。
他方、シリコン系堆積膜として電気的、光学的特性を各
用途毎に十分に満足させ得るものを発現させるためには
、現状ではプラズマCVD法によって形成することが最
良とされている。
百年ら、シリコン系堆積膜の応用用途によっては、大面
積化、膜厚均一性、膜品質の均一性を十分満足させて再
現性のある量産化を図らねばならないため、プラズマC
VD法によるシリコン系堆積膜の形成においては、量産
装置に多大な設備投資が必要となり、またその量産の為
の管理項目も複雑になり、管理許容幅も狭く、装置の調
整も微妙であることから、これらのことが、今後改善す
べき問題点として指摘されている。
上述の如く、シリコン系堆積膜の形成に於ては、解決さ
れるべき点は、まだまだ残っており、その実用可能な特
性、均一性を維持させながら低コストな装置で省エネル
ギー化を計って量産化できる形成方法の開発が切望され
ている。
c問題点を解決するための手段〕 本発明による薄膜多層構造は、禁制帯幅制御された半導
体薄膜を有する薄膜多層構造において、前記半導体薄膜
の少なくとも一層はプラズマCVD法によって形成され
ており、かつその他の薄膜の少なくとも一層は、堆積膜
形成用の気体状原料物質と、該原料物質に酸化作用をす
る性質を有する気体状ハロゲン系酸化材と、を反応空間
内に導入して接触させることで励起状態の前駆体を含む
複数の前駆体を化学的に生成し、これらの前駆体の内少
なくとも1つの前駆体を堆積膜構成要素の供給源として
形成されていることを特徴とする。
また、本発明による薄膜多層構造の形成方法は、禁制帯
幅制御された半導体薄膜を有する薄膜多層構造の形成方
法において、前記半導体薄膜の少なくとも一層をプラズ
マCVD法によって形成し、その他の薄膜の少なくとも
一層を堆積膜形成用の気体状原料物質と、該原料物質に
酸化作用をする性質を有する気体状ハロゲン系酸化剤と
、を反応空間内に導入して接触させることで励起状態の
前駆体を含む複数の前駆体を化学的に生成し、これらの
前駆体の内少なくとも1つの前駆体を堆積膜構成要素の
供給源として形成することを特徴とする。
〔作用説明等〕
上記本発明による薄膜多層構造およびその形成方法によ
れば、界面特性の良い多層構造が得られ、また各堆積層
の形成が、省エネルギー化と同時に、膜厚均一性、膜品
質の均一性を十分満足させて管理の簡素化と量産化を図
り、量産装置に多大な設備投資も必要とせず、またその
量産の為の管理項目も明確になり、管理許容幅も広く、
装置の調整も簡単となる。
上記気体状原料物質は、気体状ハロゲン系酸化剤との接
触により酸化作用をうけるものであり、目的とする堆積
膜の種類、特性、用途等によって所望に従って適宜選択
される。本発明に於いては、上記の気体状原料物質及び
気体状ハロゲン系酸化剤は、堆積室内に導入されて接触
をする際に気体状とされるものであれば良く、通常の場
合は、気体でも液体でも固体であっても差支えない。
堆積膜形成用の原料物質あるいはハロゲン系酸化剤が液
体又は固体である場合には、Ar、He。
N2.N2等のキャリアーガスを使用し、必要に応じて
は熱も加えながらバブリングを行なって反応空間に堆積
膜形成用の原料物質及びハロゲン系酸化剤を気体状とし
て導入する。
この際、上記気体状原料物質及び気体状ハロゲン系酸化
剤の分圧及び混合比は、キャリアーガスの流量あるいは
堆積膜形成用の原料物質及び気体状ハロゲン系酸化剤の
蒸気圧を調節することにより設定される。
本発明に於いて使用される堆積膜形成用の原理物質とし
ては、例えば、半導体性或いは電気的絶縁性のシリコン
堆積膜やゲルマニウム堆積膜等のテトラヘドラル系の堆
積膜を得るのであれば、直鎖状、及び分岐状の鎖状シラ
ン化合物、環状シラン化合物、鎖状ゲルマニウム化合物
等が有効なものとして挙げることが出来る。
具体的には、直鎖状シラン化合物としてはSiI、Hz
、、z (n=1.2,3.4,5,6,7゜8)、分
岐状鎖状シラン化合物としては、5iH3SiH(S、
1H3)SiHz SiH:+、環状シラン化合物とし
てはS III Hzn (n=3゜4.5.6)等が
挙げられる。
勿論、これらの原料物質は1種のみならず2種以上混合
して使用することもでき、またプラズマCVD法によっ
て堆積膜を形成する場合の原料ガスとして使用できる。
本発明に於いて使用されるハロゲン系酸化剤は、反応空
間内に導入される際気体状とされ、同時に反応空間内に
導入される堆積膜形成用の気体状原料物質に接触するだ
けで効果的に酸化作用をする性質を有するもので、Fz
 +  C1t 、Brz 、Tz等のハロゲンガス、
発生期状態の弗素、塩素、臭素等が有効なものとして挙
げることができる。
これ等のハロゲン系酸化剤は気体状で、前記の堆積膜形
成用の原料物質の気体と共に所望の流量と供給圧を与え
られて反応空間内に導入されて前記原料物質と混合衝突
することで化学的接触をし、前記原料物質に酸化作用を
して励起状態の前駆体を含む複数種の前駆体を効率的に
生成する。生成される励起状態の前駆体及び多の前駆体
は、少なくともそのいずれか1つが形成される堆積膜の
構成要素の供給源として働く。
生成される前駆体は分解して又は反応して別の励起状態
の前駆体又は別の励起状態にある前駆体になって、或い
は必要に応じてエネルギーを放出はするがそのままの形
態で成膜空間に配設された基体表面に触れることで、基
体表面温度が比較的低い場合には三次元ネットワーク構
造の堆積膜が、基体表面温度が高い場合には結晶質の堆
積膜が形成される。
本発明に於いては、堆積膜形成プロセスが円滑に進行し
、高品質で所望の物理特性を有する膜が形成される可く
、成膜因子としての、原料物質及びハロゲン系酸化剤の
種類と組み合せ、これ等の混合比、混合時の圧力、流量
、成膜空間内圧、ガスの流量、成膜温度(基体温度及び
雰囲気温度)が所望に応じて適宜選択される。これ等の
成膜因子は有機的に関連し、単独で決定されるものでは
なく相互関連の下に夫々に応じて決定される。本発明に
於いて、反応空間に導入される堆積膜形成用の気体状原
料物質と気体状ハロゲン系酸化剤との量の割合は、上記
成膜因子の中間速する成膜因子との関係に於いて、適宜
所望に従って決められるが、導入流量比で、好ましくは
、1/20〜100/1が適当であり、より好ましくは
175〜50/1とされるのが望ましい。
反応空間に導入される際の混合時の圧力としては前記気
体状原料物質と前記気体状ハロゲン系酸化剤との接触を
確率的により高める為には、より高い方が良いが、反応
性を考慮して適宜所望に応じて最適値を決定するのが良
い。前記混合時の圧力としては、上記の様にして決めら
れるが、夫々の導入時の圧力として、好ましくはlXl
0−’気圧〜5気圧、より好ましくはIXLO−6気圧
〜2気圧とされるのが望ましい。
成膜空間内の圧力、即ち、その表面に成膜される基体が
配設されている空間内の圧力は、反応空間に於いて生成
される励起状態の前駆体(E)及び場合によって該前駆
体(E)より派生的に生ずる前駆体(D)が成膜に効果
的に寄与する様に適宜所望に応じて設定される。
成膜空間の内圧力は、成膜空間が反応空間と開放的に連
続している場合には、堆積膜形成用の基体状原料物質と
気体状ハロゲン系酸化剤との反応空間での導入圧及び流
量との関連に於いて、例えば差動排気或いは、大型の排
気装置の使用等の工夫を加えて調整することが出来る。
或いは、反応空間と成膜空間の連結部のコンダクタンス
が小さい場合には、成膜空間に適当な排気装置を設け、
該装置の排気量を制御することで成膜空間の圧力を調整
することが出来る。
又、反応空間と成膜空間が一体的になっていて、反応位
置と成膜位置が空間的に異なるだけの場合には、前述の
様lご差動排気するか或いは、排気能力の充分ある大型
の排気装置を設けてやれば良い。
上記のようにして成膜空間内の圧力は、反応空間に導入
される気体状原料物質と気体状ハロゲン酸化剤の導入圧
力との関係に於いて決められるが、好ましくは0.00
1 Torr 〜100 Torr、より好ましくは0
. OI Torr〜30 Torr、最適には0.0
5〜10Torrとされるのが望ましい。
ガスの流量に就いては、反応空間への前記堆積膜形成用
の原料物質及びハロゲン系酸化剤の導入の際にこれ等が
均一に効率良く混合され、前記前駆体(E)が効率的に
生成され且つ成膜が支障なく適切になされる様に、ガス
導入口と基体とガス排気口との幾何学的配置を考慮して
設計される必要がある。この幾何学的な配置の好適な例
の1つが、後述するように、第1図に示される。
成膜時の基体温度(Ts)としては、使用されるガス種
及び形成される堆積膜の種類と要求される特性に応じて
、個々に適宜所望に従って設定されるが、非晶質の膜を
得る場合には好ましくは室温から450℃、より好まし
くは50〜400℃とされるのが望ましい。殊に半導体
性や光導電性の特性がより良好なシリコン堆積膜を形成
する場合には、基体温度(Ts)は70〜350℃とさ
れるのが望ましい。また、多結晶の膜を得る場合には、
好ましくは200〜700°C1より好ましくは300
〜600℃とされるのが望ましい。
成膜空間の雰囲気温度(Tat)としては、生成される
前記前駆体(E)及び前記前駆体(D)が成膜に不適当
な化学種に変化せず、且つ効率良く前記前駆体(E)が
生成される様に基体温度(Ts)との関連で適宜所望に
応じて決められる。
第5図は、プラズマCVD法によって禁制帯幅制御され
た堆積膜を形成する装置の模式的構成図である。
501は堆積膜を形成する堆積室、502は堆積室50
1の内部に置かれ基体503を支持する支持台である。
504は支持台加熱用ヒーターであり、導線505によ
って給電される。
506乃至509は、ガス供給源であり、ケイ素含有化
合物、水素、ハロゲン化合物、不活性ガス、禁制帯幅調
整剤となる不純物元素を成分とする化合物のガスの種類
に応じて設けられる。これ等の原料化合物のうち標準状
態に於いて液状のものを使用する場合には、適宜の気化
装置を具備せしめる。図中ガス供給源506乃至509
の符号にaを付したのは分岐管、bを付したのは流量計
、Cを付したのは各流量計の高圧側の圧力を計測する圧
力計、d又はeを付したのは各気体流量を調整するため
のバルブである。原料化合物のガスは五人管510を介
して成膜室501内に導入される。
51)はプラズマ発生装置であって、プラズマ発生装置
51)からのプラズマは、矢印の向きに流れている原料
ガスに作用して、作用された化合物を励起、分解せしめ
、分解した化合物が化学反応することによって、基体5
03に禁制帯幅の制御された堆積膜を形成するものであ
る。512は排気バルブ、513は排気管であり、成膜
空間内を真空排気するため排気装置(図示せず)に接続
されている。
こうした装置を用いて、例えば禁制帯幅調整剤により禁
制帯幅が制御された膜を形成する場合、適当な基体を支
持台上に載置し、排気装置(図示せず)を用いて排気管
を介して成膜室内を排気し、減圧する。
次いで、必要に応じて基体を加熱し、ガス供給用ボンへ
よりSiH4,Hz等の原料ガスおよび禁制帯幅調整剤
となる0□、 G e Ha 、  CH4等の原料ガ
スをガス導入管510を介して成膜室501内に導入し
、成膜室内の圧力を所定圧力に保ちつつプラズマ発生装
置により成膜室501内にプラズマを発生させ、基体5
03上に禁制帯幅の制御された堆積膜を形成する。
本発明において使用される禁制帯幅調整剤のうち禁制帯
幅拡大元素を含む化合物としては、炭素含有化合物、酸
素含有化合物、窒素含有化合物等を挙げることができる
具体的には、炭素含有化合物としては、CH4゜CzH
b 、C3H1l 、C4HIO等の一般弐Cn H2
1)41(nは自然数)で表わされる化合物、CzH4
゜C3Hb 、  C4Hs ”・等の一般弐〇、H2
、(nは自然数)で表わされる化合物、C2H2、Cb
Hb等の化合物を挙げることができる。酸素含有化合物
としては、Oz 、COz 、No、NOz 、NzO
Ch 、Co、H2O,CH30H,CH3CH20H
等の化合物を挙げることができる。
窒素含有化合物としては、Nz 、 N H3。
N z Hs N * 、 N z Ha 、 N H
−N 3等を挙げることができる。
また、禁制帯幅縮小元素を含む化合物としては、例えば
鎖状ゲルマニウム化合物、スズ化合物等が有効なものと
して挙げられる。
具体的には、鎖状ゲルマニウム化合物としては、Qe、
Hzs+z (m=1.2,3,4.5)等を、またス
ズ化合物としては、例えばSnH4等の水素化スズを挙
げることができる。
なお、禁制帯幅の制御された堆積膜の形成方法と、禁制
帯幅の制御がされない堆積膜の形成方法とは、異なるも
のであるが、双方の堆積膜形成手段を同一の堆積膜形成
装置内に配設してもよい。
ただし、いずれか一方の形成手段を用いる時は他方の形
成手段は中止させておく必要がある。また、上記双方の
堆積膜形成手段をゲートバルブ等を介して連結させ、連
続的に双方の堆積膜を形成することもできる。
また、価電子制御された堆積膜を形成する場合の価電子
制御剤としては、シリコン系半導体膜及びゲルマニウム
系半導体膜の場合には、p型の価電子制御剤、所謂p型
不純物として働く周期率表第■族Aの元素、例えばB、
ACGa、In。
TN等を含む化合物、及びn型の価電子制御剤、所謂n
型不純物として働く周期率表第■族Aの元素、例えばN
+  P + A s + S b 、B s等を含む
化合物を挙げることが出来る。
具体的には、NH3、HNx 、NZH5N3 。
NzH< 、NHaN3.PH3、P2H4、AS H
:l。
SbH:+ 、B iH3,BzH6,B4H,。、B
sHq。
B s HII、B b H+。、  BbH+z、 
 Al(CH:+)+  。
Aj!(CzHs)3 、  Ga(CHz):+’、
  I n(CH’:+)z等を有効なものとして挙げ
ることができる。
なお、これら価電子制御剤は、多量に添加することで禁
制帯幅調整剤として用いることもできる。
本発明に於いて使用される基体としては、形成される堆
積膜の用途に応じて適宜所望に応じて選択されるもので
あれば導電性でも電気絶縁性であっても良い。導電性基
体としては、例えば、NiCr、ステンレス、Al、C
r、Mo、Au。
Ir、Nb、Ta、V、Ti、Pt、Pd等の金属又は
これ等の合金が挙げられる。
電気絶縁性基体としては、ポリエステル、ポリエチレン
、ポリカーボネート、セルローズアセテート、ポリプロ
ピレン、ポリ塩化ビニル、ポリ塩化ビニリデン、ポリス
チレン、ポリアミド等の合成樹脂のフィルム又はシート
、ガラス、セラミ。
り等が通常使用される。これらの電気絶縁性基体は、好
適には少な(ともその一方の表面が導電処理され、該導
電処理された表面側に他の層が設けられるのが望ましい
例えばガラスであれば、その表面がNiCr。
Ag、Cr、Mo、Au、I r、Nb、Ta、V。
T t、P t、Pd、I Tl2O3、Snow 、
ITO(In、O,+SnO□)等の薄膜を設ける事に
よって導電処理され、或いはポリエステルフィルム等の
合成樹脂フィルムであれば、NiCr。
Aj2.Ag、Pb、Zn、Ni、Au、Cr。
Mo、  I r、 Nb、 Ta、 V、 T i、
 Pt等の金属で真空蒸着、電子ビーム蒸着、スパッタ
リング等で処理し、又は前記金属でラミネート処理して
、その表面が導電処理される。支持体の形状としては、
円筒状、ベルト状、板状等、任意の形状とし得、所望に
よって、その形状が決定される。
基体は、基体と膜との密着性及び反応性を考慮して上記
の中より選ぶのが好ましい。更に両者の熱膨張の差が大
きいと膜中に多量の歪が生じ、良品室の膜が得られない
場合があるので、両者の熱膨張の差が近接している基体
を選択して使用するのが好ましい。
又、基体の表面状態は、膜の構造(配向)や鎖状!lJ
Imの発生に直接関係するので、所望の特性が得られる
様な膜構造と膜組織となる様に基体の表面を処理するの
が望ましい。
〔実施例〕
以下、本発明の実施例を図面に基づいて詳細に説明する
まず、第1図は、本発明による薄膜多層構造の形成方法
を実施するための堆積膜形成装置の模式的構成図である
同図に示す装置は、装置本体、排気系およびガス供給系
の3つに大別される。
装置本体には、ガス導入用の配管およびプラズマ発生装
置が設けられている。
101〜108は夫々、成膜する際に使用されるガスが
充填されているボンベ、101a〜108aは夫々ガス
供給パイプ、101b〜108bは夫々各ボンベからの
ガスの流量調整用のマスフローコントローラー、101
C〜108cはそれぞれガス圧力計、101d 〜10
8d及び101e〜108eは夫々バルブ、101f 
〜108fは夫々対応するガスボンベ内の圧力を示す圧
力計である。
120は真空チャンバーであって、上部にガス導入用の
配管が設けられ、配管の下流に反応空間が形成れる構造
を有し、且つ該配管のガス排出口に対向して、基体1)
8が設置される様に基体ホールダー1)2が設けられた
成膜空間が形成される構造を有する。ガス導入用の配管
は、三重同心円配置構造となっており、中よりガスボン
ベ101゜102よりのガスが導入される第1のガス導
入管109、ガスボンベ103〜105よりのガスが導
入される第2のガス導入管1)O1及びガスボンへ10
6〜108よりのガスが導入される第3のガス導入管1
)1を有する。
各ガス導入管の反応空間へのガス排出には、その位置が
内側の管になる程基体の表面位置より遠い位置に配置さ
れる設計とされている。即ち、外側の管になる程その内
側にある管を包囲する様に夫々のガス導入管が配設され
ている。
各導入管への管ボンベからのガスの供給は、ガス供給パ
イプライン123〜125によって夫々なされる。
各ガス導入管、各ガス供給パイプライン及び真空チャン
バー120は、メイン真空バルブ1)9を介して不図示
の真空排気装置により真空排気される。
基体1)8は基体ホルダー1)2を上下に移動させるこ
とによって各ガス導入管の位置より適宜所望の距離に設
置される。
本発明の場合、この基体とガス導入管のガス排出口の距
離は、形成される堆積膜の種類及びその所望される特性
、ガス流量、真空チャンバーの内圧等を考慮して適切な
状態になる様に決められるが、好ましくは、数m■〜2
0cm、より好ましくは、5龍〜15cm程度とされる
のが望ましい。
1)3は、基体1)8を成膜時に適当な温度に加熱した
り、或いは、成膜前に基体1)8を予備加熱したり、更
には、成膜後、膜をアニールする為に加熱する基体加熱
用ヒータである。
基体加熱用ヒータ1)3は、導線1)4により電源1)
5により電力が供給される。
1)6は、基体温度(T s )の温度を測定する為の
熱電対で温度表示装置1)7に電気的に接続されている
126は真空チャンバ120内にプラズマを発生させる
ための高周波伝達用電極であり、127はそれに高周波
パワーを供給する高周波発生用電源である。
このような堆積膜形成装置を用い、本発明による薄膜多
層構造を有する太陽電池、電子写真用感光デバイス、お
よび薄膜トランジスタ(以下、TPTとする。)の製造
方法を具体的に説明する。
(実施例1) 第2図は、本発明による薄膜多層構造の第一実施例であ
る太陽電池の概略的構成図である。
同図において、ガラス基板200上には透明電極(図示
されていない。)、p型非晶質シリコンカーバイド層2
01 (第1層、厚さ300人)、i型非晶質シリコン
層202 (第2層、厚1μm)、n型非晶質9932
層203 (第3層、厚さ200人)、そしてAl電極
204が積層形成されている。
p型非晶質シリコンカーバイド層201の堆積あたって
は、ボンベ101のSiH4ガスを流量20SCCMで
ガス導入管109より、ボンベ103のBtHb/He
ガス(BZH6?M度10000 ppm )を流量3
 SCCMおよびボンベ105のCH,ガスを流量10
0SCCMでガス導入管1)0より、ボンベ107のH
eガスを流量20SCCMでガス導入管1)1より、そ
れぞれ真空チャンバー120内に導入し、高周波伝達用
電極126に高周波(13,56MHz、実行出力30
W)を印加してプラズマを発生させる。このプラズマC
VD法によって炭素により禁制帯幅が拡大したp型非晶
質シリコンカーバイド層201を形成した。このために
、窓効果が向上し、光電変換効率が向上する。
i型非晶質シリコン層202およびn型非晶質シリコン
N2O3は、堆積膜形成用の気体状原料物質と、該原料
物質に酸化作用をする性質を有する気体状ハロゲン系酸
化剤とを真空チャンバー120内で混合反応させること
により堆積させた。
すなわち、i型非晶質シリコン202では、ボンベ10
1に充填されているSiH4ガスを流量305CCMで
ガス導入管109より、ボンベ106に充填されている
F2ガスを流120scc)1、ボンベ107に充填さ
れているHeガスを流量1)003CCでガス導入管1
)1より真空チャンバー120内に導入した。
このとき、真空チャンバー120内の圧力を真空バルブ
1)9の開閉度を調整してQ、 7 Torrにした。
ガス導入口1)1と基体との距離は3 cmに設定した
。S i H4ガスとF2ガスの混合域で青白い発光が
強く見られた。
n型の非晶質シリコン層203では、ボンベ101に充
填されている5iHaガスを流量203CCMでガス導
入管109より、ボンベ104に充填されているPH3
/He (PH* ia度1)000pp )を流量3
5CCMでガス導入管1)0よりボンへ106に充填さ
れているF2ガスを流量15SCCMで、ボンベ107
に充填されているHeガスを流量80SCCMでガス導
入管1)1より真空チャンバー120内に導入した。こ
のときの真空チャンバー120内の圧力は、真空パルプ
1)9の開閉度の調整によって0.4 Torrにした
また、各層の形成にあたって、基体温度は250℃に設
定した。
このようにして得られた太陽電池は、従来のものより1
8%高い光電変換効率を示した。
(実施例2) 第3図は、本発明の第二実施例である電子写真用像形成
部材の概略的構成図である。
同図において、Aff基体300上には、光反射防止層
301 (第1層、Geにより禁制帯幅を制御した非晶
質シリコンゲルマニウム層であり、厚さは0.5μm)
、電荷注入防止層302(第2層、Bをドーピングした
非晶質シリコン層であり、厚さは0.5μm)、感光層
303 (第3層、非晶質シリコン層であり、厚さ18
μm)、表面保護層および光吸収増加層404(第4J
W、 Cにより禁側帯幅を制御した非晶質シリコンカー
バイド層であり、厚さ0518m)が積層形成されてい
る。
以上のような像形成部材を実施例1で示したように堆積
膜形成装置を用い、第1表に示す成膜条件で作製した。
本実施例によって得られた電子写真用像形成部材は、従
来品よりも25%以上向上した帯電特性を示し、画像欠
陥の数も10%程度減少し、感度も15%以上向上した
(実施例3) 第4図は、本発明の第三実施例であるTPTの概略的構
成図である。
同図においてガラス基板400上には、非晶質シリコン
層401 (第1層、厚さ8000人)、リンを高濃度
にドープした非晶質シリコン層402(第2層、厚さ8
00人)、絶縁層403(第3層、厚さ2000人)、
およびAlのゲート電極404、ソースおよびドレイン
電極405,405’が形成されている。
以上のようなTPTを実施例1で示したように堆積膜形
成装置を用い、第2表に示す成膜条件で作製した。
本実施例により作製されたTPTは、ON 7oFF抵
抗比が従来のものより13%程度改善された。
〔発明の効果〕
以上詳細に説明したように、本発明による薄膜多層構造
の形成方法は、省エネルギー化を計ると同時に膜品質の
管理が容易で大面積に亘って均一物理特性の堆積膜が得
られる。又、生産性、量産性に優れ、高品質で電気的、
光学的、半導体的等の物理特性に優れた多層構造を簡単
に得ることができる。
【図面の簡単な説明】
第1図は、堆積膜形成装置の模式的構成図、第2図は、
本発明の第一実施例である太陽電池の概略構成図、 第3図は、本発明の第二実施例である電子写真用の像形
成部材の概略的構成図、 第4図は、本発明の第三実施例であるTPTの概略構成
図、 第5図は、一般的なプラズマCVD法で用いられる堆積
膜形成装置の模式的構成図である。 1σ1〜108・・・ガスボンベ 101a〜108a・・・ガスの導入管101b〜10
8b・・・マスフロメーター101cm108c・・・
ガス圧力計 101d〜108dおよび 101e〜108e・・・バルブ 101f〜108f・・・圧力計 109.1)0.1)1・・・ガス導入管1)2・・・
基体ホルダー 1)3・・・基体加熱用ヒーター 1)6・・・基体温度モニター用熱電対1)8・・・基
体 1)9・・・真空排気パルプ 120・・・真空チャンバー 123〜125・・・ガス供給用パイプ126・・・高
周波伝達用電極 127・・・高周波発生用電源 200・・・透明電極をコーティングしたガラス基板2
01・・・p型半導体層 202・・・感光層 203・・・n型半導体層 204・・・Al製電極 300・・・AI!製基体 301・・・光反射防止層 302・・・電荷注入防止層 303・・・感光層 304・・・表面保護層 405・・・Al電極(リース) 404・・・Al電極(ゲート) 405′・・・AN電極(ドレイン) 403・・・絶縁層 402・・・n型半導体層 401・・・i型半導体層 400・・・ガラス基板 代理人 弁理士  山 下 穣 子 弟2図 第3図 第4図 手続補正書 昭和61年 2月25日 特許庁長官  宇 賀 道 部 殿 ■、 事件の表示 特願昭60−298045号 2、 発明の名称 FJn’)多層構造およびその形成方法3、 補正をす
る者 31)件との関係   特許出願人 名  称 (100)キャノン株式会社4、代理人 住所 東京都港区虎ノ門五丁目13番1号虎ノ門40森
ビル氏名 (6538)  弁理士  山  下  穣
  平1.°゛措)15・ 補正の対象       
            1=Σ具、;7=+明細書の
浄書及び委任状 6、 補正の内容

Claims (2)

    【特許請求の範囲】
  1. (1)禁制帯幅制御された半導体薄膜を有する薄膜多層
    構造において、 前記半導体薄膜の少なくとも一層はプラズマCVD法に
    よって形成されており、かつその他の薄膜の少なくとも
    一層は、堆積膜形成用の気体状原料物質と、該原料物質
    に酸化作用をする性質を有する気体状ハロゲン系酸化剤
    と、を反応空間内に導入して接触させることで励起状態
    の前駆体を含む複数の前駆体を化学的に生成し、これら
    の前駆体の内少なくとも1つの前駆体を堆積膜構成要素
    の供給源として形成されていることを特徴とする薄膜多
    層構造。
  2. (2)禁制帯幅制御された半導体薄膜を有する薄膜多層
    構造の形成方法において、 前記半導体薄膜の少なくとも一層をプラズマCVD法に
    よって形成し、その他の薄膜の少なくとも一層を堆積膜
    形成用の気体状原料物質と、該原料物質に酸化作用をす
    る性質を有する気体状ハロゲン系酸化剤と、を反応空間
    内に導入して接触させることで励起状態の前駆体を含む
    複数の前駆体を化学的に生成し、これらの前駆体の内少
    なくとも1つの前駆体を堆積膜構成要素の供給源として
    形成することを特徴とする薄膜多層構造の形成方法。
JP60298045A 1985-12-28 1985-12-28 薄膜多層構造の形成方法 Expired - Lifetime JPH0651909B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP60298045A JPH0651909B2 (ja) 1985-12-28 1985-12-28 薄膜多層構造の形成方法
DE3644652A DE3644652C2 (de) 1985-12-28 1986-12-29 Verfahren zur Herstellung einer elektronischen Vorrichtung mit einer vielschichtigen Struktur
US06/947,029 US4771015A (en) 1985-12-28 1986-12-29 Method for producing an electronic device having a multi-layer structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP60298045A JPH0651909B2 (ja) 1985-12-28 1985-12-28 薄膜多層構造の形成方法

Publications (2)

Publication Number Publication Date
JPS62158874A true JPS62158874A (ja) 1987-07-14
JPH0651909B2 JPH0651909B2 (ja) 1994-07-06

Family

ID=17854411

Family Applications (1)

Application Number Title Priority Date Filing Date
JP60298045A Expired - Lifetime JPH0651909B2 (ja) 1985-12-28 1985-12-28 薄膜多層構造の形成方法

Country Status (3)

Country Link
US (1) US4771015A (ja)
JP (1) JPH0651909B2 (ja)
DE (1) DE3644652C2 (ja)

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0746729B2 (ja) * 1985-12-26 1995-05-17 キヤノン株式会社 薄膜トランジスタの製造方法
US5236895A (en) * 1988-11-24 1993-08-17 Kawasaki Jukogyo Kabushiki Kaisha Production of oxide superconducting films by laser sputtering using N22
IT1227877B (it) * 1988-11-25 1991-05-14 Eniricerche S P A Agip S P A Procedimento per la deposizione via plasma di strati multipli dimate riale amorfo a composizione variabile
US5079217A (en) * 1988-11-28 1992-01-07 E. I. Du Pont De Nemours And Company Process for preparing homogenous superconductors by heating in a nitrogen dioxide containing atmosphere
EP0387456B1 (en) * 1989-02-10 1993-09-22 Kabushiki Kaisha Toshiba Method for vapor-phase growth of an oxide thin film
US5502315A (en) * 1989-09-07 1996-03-26 Quicklogic Corporation Electrically programmable interconnect structure having a PECVD amorphous silicon element
US5989943A (en) * 1989-09-07 1999-11-23 Quicklogic Corporation Method for fabrication of programmable interconnect structure
US5214025A (en) * 1990-04-13 1993-05-25 President Of Tokyo Institute Of Technology Method of manufacturing oxide high-temperature superconductor thin film by means of molecular-beam epitaxy
US5946587A (en) * 1992-08-06 1999-08-31 Canon Kabushiki Kaisha Continuous forming method for functional deposited films
KR0139876B1 (ko) * 1993-09-14 1998-08-17 사토 후미오 금속산화막의 형성방법
US5698262A (en) * 1996-05-06 1997-12-16 Libbey-Owens-Ford Co. Method for forming tin oxide coating on glass
CA2241678C (en) 1997-06-26 2007-08-28 General Electric Company Silicon dioxide deposition by plasma activated evaporation process
US6787186B1 (en) * 1997-12-18 2004-09-07 Advanced Technology Materials, Inc. Method of controlled chemical vapor deposition of a metal oxide ceramic layer
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US20060124588A1 (en) * 1999-01-05 2006-06-15 Berg & Berg Enterprises, Llc System and method for reducing metal oxides with hydrogen radicals
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US7510664B2 (en) * 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
US7375035B2 (en) * 2003-04-29 2008-05-20 Ronal Systems Corporation Host and ancillary tool interface methodology for distributed processing
US7888167B2 (en) * 2008-04-25 2011-02-15 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and method for manufacturing the same
JP5377061B2 (ja) * 2008-05-09 2013-12-25 株式会社半導体エネルギー研究所 光電変換装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US31708A (en) * 1861-03-19 Improved device for coating pins
US3473978A (en) * 1967-04-24 1969-10-21 Motorola Inc Epitaxial growth of germanium
US3888705A (en) * 1973-12-19 1975-06-10 Nasa Vapor phase growth of groups iii-v compounds by hydrogen chloride transport of the elements
USRE31708E (en) 1976-11-01 1984-10-16 Method of depositing electrically conductive, infra-red reflective, transparent coatings of stannic oxide
US4146657A (en) * 1976-11-01 1979-03-27 Gordon Roy G Method of depositing electrically conductive, infra-red reflective, transparent coatings of stannic oxide
GB2038086A (en) * 1978-12-19 1980-07-16 Standard Telephones Cables Ltd Amorphous semiconductor devices
US4239811A (en) * 1979-08-16 1980-12-16 International Business Machines Corporation Low pressure chemical vapor deposition of silicon dioxide with oxygen enhancement of the chlorosilane-nitrous oxide reaction
JPS5710920A (en) * 1980-06-23 1982-01-20 Canon Inc Film forming process
US4522663A (en) * 1980-09-09 1985-06-11 Sovonics Solar Systems Method for optimizing photoresponsive amorphous alloys and devices
US4357179A (en) * 1980-12-23 1982-11-02 Bell Telephone Laboratories, Incorporated Method for producing devices comprising high density amorphous silicon or germanium layers by low pressure CVD technique
DE3208494C2 (de) * 1981-03-09 1993-09-30 Canon Kk Verfahren zur Herstellung eines fotoleitfähigen Elements
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4402762A (en) * 1981-06-02 1983-09-06 John Puthenveetil K Method of making highly stable modified amorphous silicon and germanium films
JPS5833829A (ja) * 1981-08-24 1983-02-28 Toshiba Corp 薄膜形成装置
US4652463A (en) * 1982-03-29 1987-03-24 Hughes Aircraft Process for depositing a conductive oxide layer
JPS58170536A (ja) * 1982-03-31 1983-10-07 Fujitsu Ltd プラズマ処理方法及びその装置
US4462847A (en) * 1982-06-21 1984-07-31 Texas Instruments Incorporated Fabrication of dielectrically isolated microelectronic semiconductor circuits utilizing selective growth by low pressure vapor deposition
US4504518A (en) * 1982-09-24 1985-03-12 Energy Conversion Devices, Inc. Method of making amorphous semiconductor alloys and devices using microwave energy
US4615905A (en) * 1982-09-24 1986-10-07 Sovonics Solar Systems, Inc. Method of depositing semiconductor films by free radical generation
JPS59159167A (ja) * 1983-03-01 1984-09-08 Zenko Hirose アモルフアスシリコン膜の形成方法
JPS59199035A (ja) * 1983-04-26 1984-11-12 Fuji Electric Corp Res & Dev Ltd 薄膜生成装置
JPS6026664A (ja) * 1983-07-22 1985-02-09 Canon Inc アモルフアスシリコン堆積膜形成法
DE3429899A1 (de) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
JPS6043819A (ja) * 1983-08-19 1985-03-08 Semiconductor Energy Lab Co Ltd 気相反応方法
US4637938A (en) * 1983-08-19 1987-01-20 Energy Conversion Devices, Inc. Methods of using selective optical excitation in deposition processes and the detection of new compositions
US4645689A (en) * 1984-02-17 1987-02-24 At&T Bell Laboratories Deposition technique
JPS60243663A (ja) * 1984-05-18 1985-12-03 Kyocera Corp 電子写真感光体
US4624736A (en) * 1984-07-24 1986-11-25 The United States Of America As Represented By The United States Department Of Energy Laser/plasma chemical processing of substrates
US4657777A (en) * 1984-12-17 1987-04-14 Canon Kabushiki Kaisha Formation of deposited film
JPH07101751B2 (ja) * 1985-03-28 1995-11-01 キヤノン株式会社 光起電力素子の製造方法

Also Published As

Publication number Publication date
DE3644652C2 (de) 1995-06-29
US4771015A (en) 1988-09-13
DE3644652A1 (de) 1987-07-23
JPH0651909B2 (ja) 1994-07-06

Similar Documents

Publication Publication Date Title
JPS62158874A (ja) 薄膜多層構造の形成方法
JPS62152171A (ja) 薄膜トランジスタの製造方法
JPS62156811A (ja) 薄膜半導体素子及びその形成法
US4812328A (en) Method for forming deposited film
JPS62156813A (ja) 薄膜半導体素子及びその形成法
US4822636A (en) Method for forming deposited film
JPS62158873A (ja) 薄膜多層構造の形成方法
JPS62163314A (ja) 薄膜多層構造およびその形成方法
JPS62142780A (ja) 堆積膜形成法
JPS62158872A (ja) 薄膜多層構造の形成方法
JPH0647734B2 (ja) 堆積膜形成法
JP2637396B2 (ja) 堆積膜形成法
JPS63216331A (ja) 堆積膜形成法
JPS6296675A (ja) 堆積膜形成法
JPS6299465A (ja) 堆積膜形成法
JP2531649B2 (ja) 堆積膜形成法
JPS62163313A (ja) 薄膜多層構造およびその形成方法
JPS62228474A (ja) 堆積膜形成装置
JPS62163312A (ja) 薄膜多層構造およびその形成方法
JPS63166214A (ja) 堆積膜形成法
JPS62158868A (ja) 堆積膜形成装置
JPS62228475A (ja) 堆積膜形成法
JPS62230980A (ja) 堆積膜形成法
JPS62156812A (ja) 薄膜半導体素子及びその形成法
JPS63136617A (ja) 堆積膜形成法

Legal Events

Date Code Title Description
EXPY Cancellation because of completion of term