JPH08279399A - プラズマ反応器の可変dcバイアス制御 - Google Patents

プラズマ反応器の可変dcバイアス制御

Info

Publication number
JPH08279399A
JPH08279399A JP7327225A JP32722595A JPH08279399A JP H08279399 A JPH08279399 A JP H08279399A JP 7327225 A JP7327225 A JP 7327225A JP 32722595 A JP32722595 A JP 32722595A JP H08279399 A JPH08279399 A JP H08279399A
Authority
JP
Japan
Prior art keywords
plasma
chamber
electrode
reactor
shield
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP7327225A
Other languages
English (en)
Inventor
Hongching Shan
シャン ホンチン
Evans Lee
リー エバンス
Robert Wu
ウー ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH08279399A publication Critical patent/JPH08279399A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 【課題】 高いDCバイアスにより生じる問題を排除し
つつ比較的高いエッチレイトで動作するプラズマ基板処
理装置。 【解決手段】 チャンバ内のある領域へのプラズマの到
達をブロックするプラズマシールドを与えて、接地され
たアノード電極の有効面積を減少させて、ウエハ支持カ
ソードに関するDCバイアスを減少させるプラズマチャ
ンバ及びその使用方法。プラズマシールドは、プラズマ
が前記アパーチャーに浸透することを防止するように充
分小さく、且つ、自身の内部をガスが通行せしめるに充
分大きい、複数の得まいスリットを有する。チャンバ壁
の選択された部分を覆う誘電材料その他のチャンバライ
ナを設置することで、DCバイアスは更に制御可能とな
る。また、ライナは、プラズマ重合により生じる堆積物
を除去するチャンバのクリーニングを容易にする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は主に、エッチング等
のプロセスに用いられるプラズマ反応器に関し、特に、
このような反応器において直流(DC)を制御する技術
に関する。
【0002】
【従来の技術】集積半導体デバイスの製造に典型的に用
いられるドライエッチングプロセスでは、反応器チャン
バ内にはプロセスガスが導入され、高周波(RF)エネ
ルギーがチャンバ内部にプラズマ雲を発生してこれを維
持する。プラズマ雲中のイオンはワークピースに衝突す
るが、このワークピースは通常は、チャンバ内でプラズ
マの直近に、又は、プラズマからのイオンが引かれ込む
別々の処理チャンバ内で、配置される半導体ウエハであ
る。このイオンは、ワークピースをエッチングし、ある
いはエッチングを促進し、エッチングプロセスは、エッ
チング以前にワークピースに保護コーティングを塗布し
てパターニングすることにより、選択的になされる。
【0003】一般には、プラズマ発生のアプローチに
は、3つの形態があり、それは、容量的、誘導的、マイ
クロウェーブである。従来からの容量的プラズマのアプ
ローチでは、1対の平行板電極の間にプラズマが形成さ
るが、この電極には、片方又は両方に、高周波(RF)
電力が印加される。この平行板アプローチの変形が、磁
気励起反応性イオンエッチング(MERIE)プラズマ
発生装置であり、この装置では、磁場がプラズマ内のイ
オンの形成を促進する。誘導的プラズマ発生器は、誘導
コイルを用い、これは、プラズマチャンバ内にRF電力
を供給する、平坦なコイル、円筒状のコイル、又は他の
タイプのいずれかである。別個のRF発生器がチャンバ
内の板電極の少なくとも一方にエネルギーを供給し、イ
オンエネルギーと方向を制御する。
【0004】プラズマとRF電力が印加される下側電極
との間に直流(DC)バイアスが直接発生することは、
プラズマ反応器ではよく知られたの現象である。このD
Cバイアスは、反応チャンバ内のイオンを、下側電極に
向けて加速するが、下側電極上には、半導体ウエハが処
理のために固定されている。プラズマから加速されたイ
オンのエネルギーは、ウエハのエッチングが生じる速度
を決定する最も重要な因子の1つである。無論、プラズ
マ密度も重要な因子である。下側電極上に発生するDC
バイアスは、予期される通り、電極に印加されるRF電
力によって変化し、このパラメータはしばしば、DCバ
イアスの制御に用いられ、プラズマエネルギーとエッチ
レイトを制御する。従来の反応性イオンエッチングプロ
セスでは、チャンバ内にエネルギーを容量的に結合し
て、プラズマを発生させこれを維持するために、下側電
極も用いられている。このケースでは、RF電力は、プ
ラズマエネルギーを独立した制御を与えず、その理由
は、プラズマの密度にも影響を与えるからである。磁気
励起反応性イオンエッチング(MERIE)チャンバに
おける典型的なDCバイアスレベルは−300V〜−7
00Vであり、操作圧力は50〜300ミリトール(m
Torr)であり、電力は500〜1000ワットであ
る。
【0005】
【発明が解決しようとする課題】DCバイアスが高くな
れば、エネルギーの高くなったイオンを発生させてこれ
がエッチングされるウエハに不要の損傷を与え下層スパ
ッタリングを生じさせるという大きな問題がある。関連
したプロセス上の問題には、エッチングされた物質のバ
ックスパッタリング、エッチングの選択性が低くなるこ
と、並びに、信頼性の高い金属接触層を形成することが
困難さが含まれる。磁場を付加することで、DCバイア
スが大きく減少するが、損傷を軽くし、選択性を高め、
プロセスのエッチレイトを高くするには充分ではない。
磁場が増加して高過ぎるようになり、約40〜60ガウ
ス以上(用いられるプロセスに依存するが)になれば、
デバイスのチャージアップが大きな問題を生じさせる。
デバイスのチャージアップは、磁場の影響下でプラズマ
中のイオンと電子が正反対の方向に漂流し、それに伴い
プラズマ及びウエハにおける電荷分布が不均一になり蓄
積された電荷の破壊が生じた結果として生じている。
【0006】DCバイアスを制御できなるなることは、
反応性イオンエッチングチャンバに印加されるRF電力
における上限を決定し、その理由は、高いDCバイアス
により生じたダメージを制限する唯一の方法だからであ
る。RF電力を制限することは必然的にエッチレイトを
制限するため、チャンバは1つのプロセスのタイプのみ
に使用できることになる。例えば、低DCバイアスを有
するように設計されたチャンバは、低ダメージプロセス
に使用することができるが、高い電力と高いエッチレイ
トを要するプロセスには別のデザインのチャンバが必要
である。
【0007】高いDCバイアスにより生じる問題を排除
しつつ比較的高いエッチレイトで動作することが可能で
あるためには、明らかに、低いDCバイアスを選択して
動作することが可能なエッチング反応器を有することが
望ましい。本発明は、この目標を達成し、これに付加的
な利点を与えるものである。
【0008】
【課題を解決するための手段】本発明は、低いバイアス
レベル且つ高いエッチレイトでの操作に容易に適合する
プラズマ反応器に関する。簡単且つ一般的な表現におい
ては、本発明の装置は、導電性の壁と;チャンバ内に配
置された接地がなされた第1の電極と;反応器チャンバ
内で処理されるワークピースを支持するための、第1の
電極から距離をおいて配置される第2の電極と;反応器
チャンバへプロセスガスを供給するための少なくとも1
つの流入ポートと;第2の電極と大地との間に接続され
て反応器チャンバ内にプラズマを発生させこれを維持す
る高周波電力ソースと;プラズマが反応器チャンバの一
部に到達することを防止する、反応器チャンバ内に設置
されたプラズマシールドとを有している。プラズマがチ
ャンバ壁の全域に接触することが防止されるため、接地
第1の電極の有効面積は減少し、また、この有効面積の
減少の結果、第2の電極におけるDCバイアスを減少さ
せる。
【0009】好ましくは、プラズマシールドは誘電材料
製であり、自身を貫通する複数の狭い開口を有して、プ
ロセスガスを流通せしめプラズマは流通させない。特
に、これらの開口は、プラズマのシース領域の厚さより
も小さな幅を有し、幅は約0.5ミリメートル未満であ
る。本発明の例示的な具体例では、プラズマシールドは
反応器チャンバの環状の領域にフィットするようにサイ
ズが与えられた、誘電材料の環状リングの形態をとり、
この環状の領域にプラズマが到達することを防止する。
プラズマシールドは、自身を貫通する複数の狭い開口を
有して、プロセスガスを流通せしめプラズマは流通させ
ない。
【0010】本発明の別の重要な特徴に従って、プラズ
マ反応器は更に、反応器チャンバの内壁の少なくとも一
部の上方に設置されたチャンバライナを有して、第1の
電極の有効面積を更に減少させるので、第2の電極への
DCバイアスが更に減少する。好ましくは、チャンバラ
イナも誘電材料製であり、反応器チャンバの内壁の選択
された一部の上方に延長して、DCバイアスを望ましく
減少させる。
【0011】本発明の関連した方法に従い、プラズマ反
応器は以下のステップを実施することにより操作され
る;プラズマエッチング反応器チャンバ内にプラズマシ
ールドを設置するステップであって、このプラズマシー
ルドは、自身を貫通する複数のスリットを有してプロセ
スガスを通過せしめ、反応器チャンバの選択された一部
へのプラズマの通過を防止する、プラズマシールドを設
置するステップと;チャンバへプロセスガスを供給する
ステップと;チャンバ内の下側電極と接地された上側電
極との間に高周波電力を供給してチャンバ内にプラズマ
を発生させてこれを維持するステップと;チャンバから
使用済みプロセスガスをポンプにより排出するステップ
と。プラズマシールドが存在することにより、接地上側
電極の有効表面積を減少させて、下側電極とプラズマと
の間のDCバイアスを減少させる。本発明の更なる特徴
において、チャンバライナがチャンバの内面の少なくと
も一部上に設置され、接地上側電極の有効表面積を減少
させて、下側電極とプラズマとの間のDCバイアスを更
に減少させる。本発明のまた別の特徴においては、チャ
ンバライナが除去され、定期的に交換されて、チャンバ
から堆積物をクリーニングすることを容易にする。
【0012】本発明がプラズマ処理の領域で大きな進歩
を提供すれば、諸例からみて評価されるであろう。特
に、本発明は、プラズマエッチング反応器を動作させる
ための低いDCバイアスを望ましく選択する一方で、R
F電力を過去に必然的であったある範囲に制限する必要
性を排除する、便利な技術を提供することにより、ウエ
ハのダメージやその他の不利な効果を少なくしつつ、高
いエッチレイトと良好な選択性を得ることが可能とな
る。また、本発明を用いることにより、重合物の堆積を
減少させ、重合物の堆積物をチャンバからクリーニング
することを容易にする。本発明は、プラズマエッチング
反応器の環境について説明されているが、プラズマ励起
化学気相堆積法等の、他のプラズマプロセスに適用され
る。本発明のその他の特徴や利点は、添付した図面を参
照しつつ以下の詳細な説明により明らかになるであろ
う。
【0013】
【発明の実施の形態】例示の目的の図面に示されている
ように、本発明は、半導体ウエハの製造に用いられるプ
ラズマ反応器に関する。従来からのプラズマ発生技術に
おいては、容量結合により、又は誘導結合により、又は
マイクロ波結合エネルギーにより、プラズマは発生し維
持される。最も一般的なアプローチは、平行平板電極を
介して高周波(RF)電力の容量的結合を用いている。
プラズマ密度の向上のため、磁場が用いられてもよい。
図1は、真空反応器チャンバ10と、カソードとも称さ
れる下側電極12と、アノードとも称される上側電極1
4とが描かれ、この上側電極14は、典型的には矢印1
6に示されるように、電極内の開口を介してチャンバ内
にプロセスガスを導入させる機能を有している。RF発
生器18により指示されるように、下側電極12に電力
が印加され、RF発生器の1つの端子は下側電極に接続
され、他方の端子は接地されている。上側電極は典型的
には、20で指示されるように接地されているチャンバ
10の壁面に接続されている。本具体例の上側電極はチ
ャンバ壁と電気的に連続であるため、典型的なように、
電極も接地されている。
【0014】プロセスガス矢印16で指示されるように
導入され、適切なRF電力が電極12、14に印加され
れば、イオン、電子あるいはその他の粒子のプラズマが
チャンバ10内で発生して維持される。更に、周知の如
く、下側電極12はプラズマに対して負の自己バイアス
が与えられるようになる。このDCバイアスレベルは、
半導体ウエハ22内へプラズマ中のイオンを加速するた
めに用いられる。この半導体ウエハ22は、適切なクラ
ンプ又は静電チャック(共に図示されない)により下側
電極12の面に固定されている。DCバイアスの値は、
RF電力の増加と共に上昇し、カソード面積に対するア
ノード面積の比の電力におよそ比例している。特に、こ
の面積比に対する比例は、
【0015】
【数1】
【0016】ここで、Vdc =カソード12へのDC
バイアス、 Aa =アノード14と壁面10の接地面積の面積、 Ac =カソード12の面積、 n =定数。
【0017】この目的に対しては、アノードの面積は、
電極14自身とチャンバ10の接地面の全接地面積であ
る。従って、カソード12へのDCバイアスは、比較的
高く、例えば約500ボルト等である。従って、ウエハ
22をエッチングするためのプラズマ及びイオンのエネ
ルギーは比較的高く、これが、ウエハのダメージ及び関
連した問題へと導いている。
【0018】本発明に従えば、エッチング反応器チャン
バに2つの構造要素が付加されて、アノード14の有効
面積及び接地壁面10を減少させ、カソード12のDC
バイアスを減少させる。これらの要素の第1番目は、エ
ッチング反応器チャンバ10の一部へのプラズマの形成
を遮断するプラズマスクリーン30である。本発明に関
するエッチングエッチング反応器チャンバのほとんどの
タイプでは、チャンバ10内でカソード12は持ち上げ
られて、カソードを包囲してチャンバ底部に実質的な距
離をもって下方に延長するチャンバの環状領域32によ
って、外側チャンバ壁と隔離され、ここでは、矢印34
で指示されているように、プロセスガスは排気ポート3
6を介してチャンバから排気される。また、カソード1
2を包囲してチャンバ10底部まで延長する絶縁材のス
リーブ38が存在してもよい。プラズマスクリーン30
は多数の小スリット40を有し、これらは、スクリーン
の全厚さを貫通して延長し、プロセスガスがチャンバ1
0内の領域32内に引かれ排気ポートを介して排出され
るための通路を与える。しかし、スリット40は領域3
2全体からプラズマを排除するに充分小さくされる。こ
の機能を実現するため、スリット40は、プラズマのシ
ース領域の厚さよりも狭くなっている必要が有り、これ
はしばしばダークスペースとして称される。スリットの
ために選択される固有の幅は、電力、チャンバ圧力、用
いるプロセスガス、及びその他の因子に依存する。例え
ば、1,000ワットで圧力250ミリトール(mTo
rr)でAr、CHF3 、CF4 のプロセスガスを用い
て操作されるエッチングチャンバにおいては、スリット
は好ましくはおよそ20mils(0.02インチ又は
0.5mm)以下の幅を有している。
【0019】図2に示されるように、プラズマスクリー
ン30は、実質的に図示されるように、弧状のスリット
40が自身に形成された環状の要素であってもよく、又
は、別の形態のスクリーンが用いられてもよい。スリッ
トの代りに、円形開口が用いられてもよい。また、明ら
かに、開口の数、サイズ及び間隔は、これらがスリット
であれ穴であれ、ガスが排気ポート36を介してチャン
バ10から排気される際のポンプ比に関する効果を有し
ている。スクリーン30に非常に多数の開口40が与え
られたならば、スクリーンの設置に先立って用いられた
ポンプは、チャンバ10の適切な脱気を与えることが可
能であるべきである。
【0020】DCバイアスに影響するアノード14の面
積は、プラズマが接触する面積である。従って、チャン
バの領域32へのプラズマの到達を防止することによ
り、有効アノード面積が著しく減少し、DCバイアスが
著しく減少する。
【0021】DCバイアスレベルの減少に用いられる別
の構造体は、誘電性、即ち絶縁材料のチャンバライナ4
4である。チャンバライナ44は、接地されたチャンバ
表面の大きな部分をシールドするので、アノード14及
び接地壁面10の面積に対するカソード12の面積の比
を実質的に減少させる。プラズマスクリーン30とチャ
ンバライナ44との結合的な効果は、DCバイアスを5
0パーセントも減少させる。例えば、−550Vから−
300Vへの減少が観測された。プラズマスクリーン3
0を用いずに、ライナ44を単独で使用すれば、ここま
で有効ではないが、DCバイアスを10〜20%減少さ
せることができる。
【0022】プラズマスクリーン30とチャンバライナ
44を選択的に設置することは、同じチャンバデザイン
においてDCバイアスレベルの範囲を与える。例えば、
プラズマスクリーン30を設置し、チャンバライナ44
を設置しなかった場合は、DCバイアスの減少はあるレ
ベルで与えられ、全体的又は部分的にチャンバライナ4
4を付加することにより、更に所定の量DCバイアスを
減少させる。チャンバライナ44に用いられる材料の選
択を変更することにより、更にDCバイアスを制御でき
る。誘電材料が好ましいが、チャンバライナは半導体材
料であってもよく、あるいは、陽極処理アルミニウム等
の導体であってもよい。この材料の選択により、有効ア
ノード面積がある程度決定し、即ち、DCバイアスがあ
る程度決定する。無論、RF電力、チャンバ圧力、プロ
セスガスの選択、プラズマの励起のために印加される磁
場強度等のプロセス操作変数に依存して、固有のDCバ
イアスの減少が得られる。従って、基本デザインが同じ
チャンバが、様々なプロセス技術に適合して用いられ
る。
【0023】低いDCバイアスを選択して与えてウエハ
に対して格子損傷を少なくし、また、低いDCバイアス
により由来するその他のウエハ処理上の利点に加え、本
発明を用いることにより、別の大きな利点が与えられ
る。既に述べたように、チャンバの構成の柔軟性が向上
することにより、基本的なチャンバのデザインに対して
ほんの少しハードウェア上の変更を加えるだけで、高い
DCバイアスと低いDCバイアスの間のスイッチングが
可能となる。低いDCバイアスで動作される能力は、高
い電力、即ち高いエッチレイトを用いることを可能に
し、このことは、ウエハの処理のスループットが高くな
ることを意味している。
【0024】プラズマスクリーン30を用いることによ
り生じる別の利点は、有効チャンバ容量が減少すること
であり、このことにより、プラズマ粒子の能力が改善さ
れることとなる。チャンバ容量が非常に小さくなれば、
チャンバ内のプロセスガスの滞留時間が減少し、プラズ
マの重合は減少するだろう。プラズマの重合では、プラ
ズマエッチングの副生成物が生じることが予想され、こ
れは望ましくない。プラズマ内で生成されたポリマー
は、チャンバ壁上に堆積され、通常はウェット(ケミカ
ル)エッチングプロセスにより、しばしばクリーニング
されなけばならない。ウェットエッチングは、プラズマ
エッチングがメンテンスのために中断された時にオフラ
インで行われる必要があり、プラズマエッチング処理を
非効率的にする大きな原因であった。本発明は、2つの
方法で、この非効率の原因を減少させる。
【0025】第1に、プラズマスクリーン30は有効チ
ャンバ容量とプロセスガス滞留時間を減少させるため、
重合のレベルが減少し、チャンバ壁上に堆積されたポリ
マーは簡単に除去される。第2に、ここではチャンバ壁
画取り外し可能なライナ44を有しているため、ライナ
を取り外して交換するだけで、メンテナンスの時間が短
くなる。代替のライナがエッチングチャンバ内でまだ機
能している間に、取り外されたライナをウェットクリー
ニングすればよい。従って、メンテナンスのためにチャ
ンバ真空ポンプが切られる時間は大きく減少し、エッチ
ング反応器はウェットエッチングのための中断からより
迅速に回復することができる。
【0026】本発明がプラズマエッチングの領域で大き
な進歩を提供すれば、諸例からみて評価されるであろ
う。特に、本発明は、プラズマエッチング反応器内のD
Cバイアスのレベルを、本発明を用いないで得られるレ
ベルではない所定の低いレベルまで減少させるための、
簡便且つ効果の高い技術を提供する。従って、用いるD
Cバイアスが高すぎることから生じるウエハのダメージ
やその他の困難さなしに、高いエッチレイトを維持する
ことが可能となる。DCバイアスが低くなる結果、酸化
物対ポリシリコンの選択性が同程度に得られるが重合度
がより低くなるように、低いCHF3 /CF4 ガス比を
用いることもできる。このことを言い換えれば、壁面上
へのポリマーの堆積を少なくすることであり、またこの
ことは、ウェットクリーニングのための製造の中断の間
のチャンバの使用可能時間を延長する。更に、本発明
は、ハードウェアをほんの少し変更するだけで、プラズ
マ反応器チャンバのDCバイアス特性を変更するえり抜
きの方法に用いることが可能である。本発明の更なる利
点は、チャンバライナを用いてDCバイアスを減少させ
ることにより、プラズマ重合の生成物を除去するチャン
バクリーニングを簡潔化し、最低限にし、スピードアッ
プする。また、本発明の特定の具体例が例示の目的のみ
に説明されてきたが、本発明の本質及び範囲を離れるこ
となく、様々な変形例が可能であることが理解されよ
う。例えば、ある構成では、大地及びRF電力供給器へ
の電気的接続は、逆であってもよい。また本発明は、電
力がプラズマへ容量結合した従来からの反応性イオンエ
ッチング(RIE)チャンバ、磁気励起反応性イオンエ
ッチング(MERIE)チャンバ、他の手段でプラズマ
の発生が励起されるRIEチャンバ、並びに、電力が誘
導的に又はマイクロ波ウェーブガイドによりプラズマに
結合されるRIEを含んだ様々なタイプの処理チャンバ
に用いられてもよい。
【0027】
【発明の効果】以上詳細に説明したように、本発明で
は、低いバイアスレベル且つ高いエッチレイトでの操作
に容易に適合するプラズマ反応器が提供される。
【図面の簡単な説明】
【図1】プラズマエッチング反応器の断面図であり、本
発明の原理を描いた図である。
【図2】図1のプラズマエッチング反応器に用いられる
プラズマスクリーンの平面図である。
【符号の説明】
10…真空反応器チャンバ、12…下側電極、14…上
側電極、16…矢印、18…RF発生器、22…ウエ
ハ、30…プラズマスクリーン、32…環状領域、34
…矢印、36…排気ポート、38…スリーブ、40…ス
リット、44…チャンバライナ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 エバンス リー アメリカ合衆国, カリフォルニア州 95035, ミルピタス, ファームクレス ト ストリート 2327 (72)発明者 ロバート ウー アメリカ合衆国, カリフォルニア州 94566, プレザントン, パセオ グラ ナダ 3112

Claims (22)

    【特許請求の範囲】
  1. 【請求項1】 選択可能な直流(DC)バイアス制御を
    備えたプラズマ反応器であって、 導電性の壁を有する接地された反応器チャンバと、 該チャンバ内に配置された、接地された第1の電極と、 該反応器チャンバ内で処理されるワークピースを支持す
    るための、該第1の電極とは離れて配置された、接地さ
    れた第2の電極と、 プロセスガスを該反応器チャンバへ供給する、少なくと
    も1つの流入ポートと、 該チャンバからガスを脱気するための、少なくとも1つ
    の流出ポートと、 該反応器チャンバ内でプラズマを発生し維持するため
    の、該第2の電極と大地との間に接続された高周波電力
    ソースと、 該反応器チャンバ内に設置されて該反応器チャンバの一
    部へのプラズマの到達を防止するプラズマシールドとを
    備え、プラズマが該チャンバ壁の全域に接触することが
    防止されて、該接地された第1の電極の有効面積が減少
    し、該減少した有効面積が該第2の電極のDCバイアス
    を減少させる結果を生じさせるプラズマ反応器。
  2. 【請求項2】 該プラズマシールドが、誘電材料製であ
    り、且つ、自身を貫通してプロセスガスを流さしめプラ
    ズマは通さないような複数の狭い開口を有する請求項1
    に記載のプラズマ反応器。
  3. 【請求項3】 該開口がプラズマのシース領域の厚さよ
    りも小さな幅を有する請求項2に記載のプラズマ反応
    器。
  4. 【請求項4】 該開口が約0.5mmよりも小さな幅を
    有する請求項3に記載のプラズマ反応器。
  5. 【請求項5】 該プラズマシールドが、反応器チャンバ
    の環状領域にフィットするようなサイズが与えられてプ
    ラズマの該環状領域への到達を防止する、誘電材料製の
    環状リングを有し、該プラズマシールドは、自身を貫通
    してプロセスガスを流さしめプラズマは通さないような
    複数の狭いスリットを有する請求項1に記載のプラズマ
    反応器。
  6. 【請求項6】 該スリットがプラズマのシース領域の厚
    さよりも小さな幅を有する請求項5に記載のプラズマ反
    応器。
  7. 【請求項7】 該スリットが約0.5mmよりも小さな
    幅を有する請求項6に記載のプラズマ反応器。
  8. 【請求項8】 該反応器チャンバの内壁の一部の上方に
    設置されたチャンバライナを更に備えて、該第1の電極
    の有効面積を減少させて該第2の電極のDCバイアスを
    減少させる請求項1に記載のプラズマ反応器。
  9. 【請求項9】 該チャンバライナが、誘電材料製であ
    り、且つ、反応器チャンバの内壁の選択された一部の上
    方に延長して、DCバイアスの所望の減少をなさしめる
    請求項8に記載のプラズマ反応器。
  10. 【請求項10】 直流(DC)バイアスを減少させる、
    プラズマ反応器の操作の方法であって、 プラズマ反応器チャンバ内にプラズマシールドを設置す
    るステップであって、該プラズマシールドは、自身を貫
    通する複数のスリットを有して、プロセスガスの通行を
    可能にし、該反応器チャンバの選択された一部へのプラ
    ズマの通行を防止する、該プラズマシールドを設置する
    ステップと、 該チャンバへプロセスガスを供給するステップと、 該チャンバ内の下側電極と接地された上側電極との間に
    高周波電力を供給して該チャンバ内にプラズマを発生さ
    せて維持するステップと、 該チャンバ内から使用済みのプロセスガスをポンプによ
    り排出するステップとを有し、該プラズマシールドの存
    在が、該接地された上側電極の有効表面積を減少させ
    て、該下側電極と該プラズマとの間のDCバイアスを減
    少させる方法。
  11. 【請求項11】 該チャンバの内面の少なくとも一部上
    にチャンバライナを設置して、該接地された上側電極の
    有効表面積を更に減少させて、該下側電極と該プラズマ
    との間のDCバイアスを更に減少させるステップを更に
    有する請求項10に記載の方法。
  12. 【請求項12】 該チャンバライナを定期的に取り外し
    交換して、該チャンバからの堆積物のクリーニングを容
    易にする請求項11に記載の方法。
  13. 【請求項13】 高周波電力供給器と共に用られるプラ
    ズマ基板処理装置であって、 第1の電極を有する基板支持体と、 前記支持体を包含し、前記基板支持体の周囲の脱気可能
    な基板処理環境を画する真空エンクロージャと、 前記エンクロージャの壁に具備されたプロセスガス流入
    口と、 前記エンクロージャの壁に具備されたガス脱気流出口
    と、 前記エンクロージャ内部で前記第1の電極から離れて配
    置された第2の電極であって、前記2つの電極の一方が
    高周波電力供給器に接続されるようになっており、他方
    の電極が大地に接続されるようになっており、前記エン
    クロージャ内のプロセスガスに基づくプラズマを、前記
    エンクロージャ内部に維持する、第2の電極と、 前記エンクロージャの壁の大きな部分の上方にあるプラ
    ズマシールドとを備え、プラズマに接触できる該チャン
    バ壁の有効面積が減少し、該基板支持体上に支持される
    基板に関する自己バイアスが減少するプラズマ基板処理
    装置。
  14. 【請求項14】 前記ガス流入口と前記ガス脱気流出口
    との一方の上に存在する該プラズマシールドのあらゆる
    部分にアパーチャーが与えられ、前記アパーチャーは、
    プラズマが前記アパーチャーに浸透することを防止する
    ように充分小さく、且つ、自身の内部をガスが通行せし
    めるに充分大きい、請求項13に記載のプラズマ基板処
    理装置。
  15. 【請求項15】 前記プラズマシールドの第1の部分
    が、前記プロセスガス流入口と前記ガス脱気流出口との
    少なくとも一方が具備される前記エンクロージャの壁の
    第1の部分の上方にあり、前記シールドの第1の部分が
    アパーチャーを画し、前記アパーチャーは、前記アパー
    チャーへのプラズマの浸透を防止するように充分小さい
    が、自身を通ってガスを通過せしめる請求項13に記載
    のプラズマ基板処理装置。
  16. 【請求項16】 前記シールドの第1の部分が、前記第
    1の電極と前記壁の第1の部分との間の位置をとる請求
    項15に記載のプラズマ基板処理装置。
  17. 【請求項17】 前記アパーチャーが形成された前記シ
    ールドの第1の部分が前記第1の電極を包囲する請求項
    15に記載のプラズマ基板処理装置。
  18. 【請求項18】 前記アパーチャーが形成された前記シ
    ールドの第1の部分が、前記基板支持体を支持するエン
    クロージャに対して、隣接し且つ略対向する関係の位置
    をとる請求項17に記載のプラズマ基板処理装置。
  19. 【請求項19】 前記エンクロージャ壁の前記第1の領
    域が、前記基板支持対を支持する前記エンクロージャの
    壁を備える請求項18に記載のプラズマ基板処理装置。
  20. 【請求項20】 前記アパーチャーが形成された前記シ
    ールドの第1の部分が、前記エンクロージャ壁の第2の
    部分の外縁を包囲し、且つ前記外縁に係合する請求項1
    8に記載のプラズマ基板処理装置。
  21. 【請求項21】 前記プロセスガス流入口と前記ガス脱
    気流出口とを有しない前記エンクロージャ壁の第2の部
    分の上方に、前記シールドのソリッドな第2の部分が与
    えられる請求項18に記載のプラズマ基板処理装置。
  22. 【請求項22】 前記シールドが誘電材料製である請求
    項21に記載のプラズマ基板処理装置。
JP7327225A 1994-12-15 1995-12-15 プラズマ反応器の可変dcバイアス制御 Withdrawn JPH08279399A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/356825 1994-12-15
US08/356,825 US5605637A (en) 1994-12-15 1994-12-15 Adjustable dc bias control in a plasma reactor

Publications (1)

Publication Number Publication Date
JPH08279399A true JPH08279399A (ja) 1996-10-22

Family

ID=23403118

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7327225A Withdrawn JPH08279399A (ja) 1994-12-15 1995-12-15 プラズマ反応器の可変dcバイアス制御

Country Status (2)

Country Link
US (1) US5605637A (ja)
JP (1) JPH08279399A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6733620B1 (en) 1998-03-06 2004-05-11 Tokyo Electron Limited Process apparatus
JP2006032344A (ja) * 2004-07-13 2006-02-02 Nordson Corp 超高速均一プラズマ処理装置
JP2009526229A (ja) * 2006-02-08 2009-07-16 ラム リサーチ コーポレーション チャンバ粒子検出システム

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3360090B2 (ja) * 1994-09-30 2002-12-24 アネルバ株式会社 プラズマ処理装置
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5672242A (en) * 1996-01-31 1997-09-30 Integrated Device Technology, Inc. High selectivity nitride to oxide etch process
US5708250A (en) * 1996-03-29 1998-01-13 Lam Resarch Corporation Voltage controller for electrostatic chuck of vacuum plasma processors
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6051100A (en) * 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
KR100265288B1 (ko) * 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
US6159850A (en) * 1998-06-16 2000-12-12 United Microelectronics Corp. Method for reducing resistance of contact window
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6194128B1 (en) 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
KR100271773B1 (ko) * 1998-10-01 2001-02-01 윤종용 건식식각장치용 배기일렉트로드 및 이를 포함하는 반도체장치제조용 건식식각장치의 공정챔버
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6482307B2 (en) * 2000-05-12 2002-11-19 Nutool, Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6833031B2 (en) * 2000-03-21 2004-12-21 Wavezero, Inc. Method and device for coating a substrate
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US6921551B2 (en) * 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6830653B2 (en) * 2000-10-03 2004-12-14 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US20020038791A1 (en) * 2000-10-03 2002-04-04 Tomohiro Okumura Plasma processing method and apparatus
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
WO2002045871A1 (en) * 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US7887889B2 (en) * 2001-12-14 2011-02-15 3M Innovative Properties Company Plasma fluorination treatment of porous materials
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP4865978B2 (ja) * 2002-02-28 2012-02-01 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4330315B2 (ja) * 2002-03-29 2009-09-16 東京エレクトロン株式会社 プラズマ処理装置
KR20030090305A (ko) * 2002-05-22 2003-11-28 동경엘렉트론코리아(주) 플라즈마 발생장치의 가스 배기용 배플 플레이트
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US20040083976A1 (en) * 2002-09-25 2004-05-06 Silterra Malaysia Sdn. Bhd. Modified deposition ring to eliminate backside and wafer edge coating
US7122125B2 (en) * 2002-11-04 2006-10-17 Applied Materials, Inc. Controlled polymerization on plasma reactor wall
US20040182833A1 (en) * 2003-01-31 2004-09-23 Tokyo Electron Limited Method for manufacturing a substrate with a pre-seasoned plasma processing system
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
US7452824B2 (en) 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
KR100822493B1 (ko) * 2003-08-11 2008-04-16 동경 엘렉트론 주식회사 성막 방법
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
KR100665991B1 (ko) * 2004-11-15 2007-01-10 삼성전자주식회사 플라즈마 에칭 장비
KR100737311B1 (ko) 2005-01-19 2007-07-09 삼성전자주식회사 반도체 제조장치
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
FR2902029B1 (fr) 2006-06-13 2009-01-23 Centre Nat Rech Scient Dispositif et procede de nettoyage d'un reacteur par plasma
US8500985B2 (en) * 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20080237048A1 (en) * 2007-03-30 2008-10-02 Ismail Emesh Method and apparatus for selective electrofilling of through-wafer vias
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
MX2010004854A (es) * 2007-11-01 2010-06-11 Oerlikon Trading Ag Metodo para fabricar una superficie tratada y fuentes de plasma de vacio.
JP2009123934A (ja) * 2007-11-15 2009-06-04 Tokyo Electron Ltd プラズマ処理装置
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
JP5648189B2 (ja) * 2008-11-24 2015-01-07 エリコン アドバンスド テクノロジーズ アーゲー 高周波スパッタリング装置
JP5302813B2 (ja) * 2009-07-28 2013-10-02 東京エレクトロン株式会社 堆積物対策用カバー及びプラズマ処理装置
JP2011049360A (ja) * 2009-08-27 2011-03-10 Tokyo Electron Ltd プラズマエッチング方法
US9117769B2 (en) 2009-08-27 2015-08-25 Tokyo Electron Limited Plasma etching method
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
US10077497B2 (en) 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP2019075517A (ja) * 2017-10-19 2019-05-16 東京エレクトロン株式会社 処理装置及び拡散路を有する部材
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10483091B1 (en) 2018-05-18 2019-11-19 International Business Machines Corporation Selective ion filtering in a multipurpose chamber
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN208835019U (zh) * 2018-11-12 2019-05-07 江苏鲁汶仪器有限公司 一种反应腔内衬
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
TW202121574A (zh) * 2019-05-28 2021-06-01 美商應用材料股份有限公司 用於改善的流量均勻性的泵送襯墊
CN111627791B (zh) * 2020-05-29 2022-10-18 中国电子科技集团公司第四十八研究所 一种基片预清洗腔室

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6479421A (en) * 1987-09-18 1989-03-24 Seirei Ind Lubricating structure for coupling part
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
JP2894658B2 (ja) * 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6733620B1 (en) 1998-03-06 2004-05-11 Tokyo Electron Limited Process apparatus
JP2006032344A (ja) * 2004-07-13 2006-02-02 Nordson Corp 超高速均一プラズマ処理装置
JP2009526229A (ja) * 2006-02-08 2009-07-16 ラム リサーチ コーポレーション チャンバ粒子検出システム

Also Published As

Publication number Publication date
US5605637A (en) 1997-02-25

Similar Documents

Publication Publication Date Title
JPH08279399A (ja) プラズマ反応器の可変dcバイアス制御
US5607542A (en) Inductively enhanced reactive ion etching
KR102478896B1 (ko) 이온-이온 플라즈마 원자 층 에칭 프로세스 및 반응기
US20200111643A1 (en) Plasma etching systems and methods with secondary plasma injection
US8222157B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US6083363A (en) Apparatus and method for uniform, low-damage anisotropic plasma processing
US7585384B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
US5824607A (en) Plasma confinement for an inductively coupled plasma reactor
EP1230668B1 (en) Plasma processing apparatus for producing uniform process rates
KR101124938B1 (ko) 플라즈마 처리 장치
EP0396398B1 (en) Plasma etching apparatus with surface magnetic fields
US7034285B2 (en) Beam source and beam processing apparatus
US20020033233A1 (en) Icp reactor having a conically-shaped plasma-generating section
US20060231030A1 (en) Modulated gap segmented antenna for inductively-coupled plasma processing system
JP2603217B2 (ja) 表面処理方法及び表面処理装置
JP2002173768A (ja) プラズマ密度改良のための埋込み式プラズマ源
JPH0851101A (ja) プラズマ処理システムにおけるアークの抑制
JP4307628B2 (ja) Ccp反応容器の平板型ガス導入装置
JPH11135297A (ja) プラズマ発生器
JPH08255782A (ja) プラズマ表面処理装置
US20060027329A1 (en) Multi-frequency plasma enhanced process chamber having a torroidal plasma source
JPH07153743A (ja) プラズマ処理装置
KR100501823B1 (ko) 플라즈마 발생 방법 및 그 장치
KR100501821B1 (ko) 플라즈마 발생 방법 및 그 장치
KR20050001831A (ko) 플라즈마 처리 장치

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20030304