JP2006032344A - 超高速均一プラズマ処理装置 - Google Patents

超高速均一プラズマ処理装置 Download PDF

Info

Publication number
JP2006032344A
JP2006032344A JP2005204419A JP2005204419A JP2006032344A JP 2006032344 A JP2006032344 A JP 2006032344A JP 2005204419 A JP2005204419 A JP 2005204419A JP 2005204419 A JP2005204419 A JP 2005204419A JP 2006032344 A JP2006032344 A JP 2006032344A
Authority
JP
Japan
Prior art keywords
electrode
process region
processing gas
plasma
vacuum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005204419A
Other languages
English (en)
Other versions
JP5054901B2 (ja
JP2006032344A5 (ja
Inventor
Robert S Condrashoff
エス. コンドラショフ ロバート
James P Fazio
ピー. ファジオ ジェームズ
James D Getty
デー. ゲティ ジェームズ
James S Tyler
エス. タイラー ジェームズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nordson Corp
Original Assignee
Nordson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nordson Corp filed Critical Nordson Corp
Publication of JP2006032344A publication Critical patent/JP2006032344A/ja
Publication of JP2006032344A5 publication Critical patent/JP2006032344A5/ja
Application granted granted Critical
Publication of JP5054901B2 publication Critical patent/JP5054901B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

【課題】 プラズマ処理装置にあっては、電極間に形成される処理のためのプロセス領域に、比較的大型の基板を配置した場合、基板の表面にわたって処理の不均一が生じる。
【解決手段】 プラズマを用いて基板を処理するための装置は、互いに間隔を隔てて配置された、第1の電極と第2の電極とを備える。第1の電極と第2の電極との対向面には、真空気密に分離リングが係合して、両電極の間には、ガス抜きが可能なプロセス領域が形成される。プロセス領域には、プロセス領域へ処理用ガスを導入するための処理用ガスポートが連通している。第1及び第2の電極のいずれかに形成された真空ポートを介してプロセス領域を排気することで、プロセス領域内の処理用ガスからプラズマを励起させるのに適した圧力にして、第1及び第2の電極に電力を与える。
【選択図】 図2

Description

本発明は一般的に、プラズマを用いて基板を処理するための処理装置に関する。
プラズマ処理装置は、様々な産業用途において、基板の表面特性を改質するために、一般的に使用されている。プラズマ処理装置は、例えば、集積回路や、電子パッケージ、半導体用途における印刷回路基板、太陽電池パネル、水素燃料電池の部品、自動車の部品、フラット・パネル・ディスプレイ用の矩形ガラス基板などの表面に対して、プラズマ処理を施すために日常的に使用されている。また、プラズマ処理装置は、医療用途においても、人体に挿入されるステントやインプラントなどの装置において、それらの表面特性を改質するために使用されている。従来の平行平板タイプの電極を用いたプラズマ処理装置にあっては、電極間に形成される処理のためのプロセス領域に、比較的大型の基板を配置した場合、基板の表面にわたって処理の不均一が生じる。電極に高周波電力を供給すると、基板の表面にわたって等ポテンシャル場となるそれぞれの等ポテンシャル曲線が誘導される。プラズマ処理中には、プロセス領域において、プラズマからの正イオンが等ポテンシャル曲線を横切って加速し、基板の表面に衝突する。プラズマは代表的には、電極を取り囲んでいるプロセスチャンバにおける、排気された全容積にわたって分布し、プラズマの密度は電極間において最も高くなる。電極間のプロセス領域におけるプラズマ密度の均一性は、接地されたチャンバの側壁など、外界の電場効果要因による影響を受けて、電極間の等ポテンシャル曲線が変化して、これによって、プラズマを構成する帯電成分の分布が変化する。不均一性は、プロセス領域の周辺縁部において、特に著しくなる。
外界の電場効果を低下させるための従来のひとつの方法は、プロセスチャンバを大型化して、接地された側壁を電極から遠ざけることである。これには多数の不都合があるが、とりわけ、チャンバの体積と処理装置の占有設置面積とが大きくなる。チャンバ体積が大きくなると、プロセスチャンバの排気をする時間が長くなり、また、未処理の基板を挿入したり処理済みの基板を取り出す際、プロセスチャンバを大気圧にするために吹き込みや通気させる時間が長くなる。特に、大量の基板を連続的にプラズマ処理することを意図している、インライン型のプラズマ処理装置においては、毎回の処理サイクル後に基板を交換するために、周期的な排気および通気が必要になるので、スループットが著しく低下するという不都合な結果が生じる。
従来のプラズマ処理装置についての他の不都合は、電極間のプロセス領域の周辺におけるプロセスチャンバの内部の排気された領域において、意図に反してプラズマが発生することである。これらの領域に発生するプラズマは、プラズマ処理の制御を難しくすると共に、これらの領域内に配置された部品を損傷することがある。また、このような閉じ込められないプラズマは、プラズマ処理チャンバの内部において、プラズマに吸収される電力の位置を変化させ、これにより,一貫した再現性の良い処理が実行できるような電極への電力の送出の制御が困難になる。
プラズマを閉じ込めるための従来のアプローチでは一般的に、電気的又は磁力的のいずれかの性質である反発場を用いている。ひとつの従来のアプローチにおいては、平行平板タイプの電極の外周まわりに、閉じ込めリングを配置している。閉じ込めリングは、電気絶縁体から形成される。閉じ込めリングは、プラズマのポテンシャルに匹敵するポテンシャルに帯電し反発電場を発生させて、この電場がプラズマを横方向に閉じ込める。それにもかかわらず、電極及び閉じ込めリングは、排気することが必要であってプラズマの放出が依然として存在するかなり大型の真空チャンバの内部に配置され、取り囲まれている。閉じ込めリングは、電極間に形成されるプロセス領域を適切にガス抜きできるように、ギャップをもって配置される。
従って、従来のプラズマ処理装置における、これらの及びその他の欠点を解消できるような、プラズマ処理装置を提供することが求められる。
本発明の実施形態による、基板をプラズマ処理する装置は、間隔を隔てて配置された第1及び第2の電極と、第1の電極と第2の電極との対向面と真空気密に係合して第1の電極と第2の電極との間に排気可能な真空プロセス領域を形成するような分離リングとを備えている。第1の電極又は第2の電極のいずれかは、プラズマ処理のために、プロセス領域内に基板を支持するようになっている。分離リングは、第1の電極と第2の電極とを電気的に絶縁する。装置はさらに、プロセス領域へ処理用ガスを導入のための処理用ガスポートと、第1の電極及び/又は第2の電極に電力が与えられる際にプロセス領域内の処理用ガスからプラズマの発生に適した圧力にするためのプロセス領域の排気のための真空ポートと、を備えている。
本発明におけるこれらの及びその他の利点については、添付図面と以下の詳細な説明によって明らかになるだろう。
添付図面は、本願に組み込まれてその一部を構成するものであって、本発明の実施形態を示しており、前述した本発明の概要、及び以下の詳細な説明と併せて、本発明の原理を説明する上で役に立つものである。
図1及び図2を参照すると、プラズマ処理装置10は、蓋部14とその蓋14が載せられるベース部16とを有する格納器12と、蓋部14から延びる一対の支持アーム18及び20と、上側電極22と下側電極24とを備えている。プラズマ処理装置10はさらに、上側電極22と下側電極24との間に配置され、上側電極22と下側電極24との周囲の対向面に対して接触する分離部材または分離リング26を備えている。電極22及び24の対向面は、略平坦で平行な平板であって、両者は、おおよそ同一である表面積を有している。シュラウド25は、プラズマ処理装置10へベース部16から下方に延びている。
空気圧シリンダとして図示したように、支持アーム18及び20と機械的に結合されている昇降装置28が、上昇位置(図3A)と下降位置(図3B)との間において、蓋部14をベース部16に対して垂直に上昇させ、または下降させる。上昇位置で後述するプロセス領域40(図3B)にアクセス可能になって、未処理の基板55を挿入したり、処理済みの基板55を取り出したりすることができる。下降位置(図3B)でプロセス領域40の中に配置された基板55に対してプラズマ処理を施すのに適した環境が、プロセス領域40の中に確立される。本発明においては、プロセス領域40に対するアクセスは、例えばベース部16に対して蓋部14をピボットさせるヒンジ結合など、当業者が理解するであろう、あらゆる代替的な方法によって行われることを想定している。
インラインの用途にあっては、処理装置10は、未処理の基板55を提供する入口キャリアと、処理済みの基板55を受け取る出口キャリアとを備えると共に、入口キャリアからプロセスチャンバへ基板55を搬送し、またプロセスチャンバから出口キャリアへ基板を搬送するための搬送アームまたはそのようなものを備える。さらに、複数の個々の基板55を導入するに際しては、複数の基板55の個々を独立的に処理装置10の中に導入しても良いし、あるいは、複数の基板55の1又は複数の基板55を一緒に処理装置10の中に導入しても良い。また、個々の基板55は支持体又はキャリアの上に載置され、これを処理装置10の中へ搬送しても良い。処理装置10は、組立ラインのようなやり方で、複数の基板55を順次複数の処理ステーションに移動させて、これを協働して処理するような、複数の処理ステーションのうちの、ひとつの処理ステーションを構成するものであっても良い。
電源30は、シールド同軸ケーブル又は伝送線32及び34のそれぞれによって、電極22及び24に接続されていて、電極22及び24の動作について、電力レベルと周波数とを制御する。電源30は、交流電源であって、50Hz及び60Hzなどの極低周波や、40kHz及び13.56MHzなどの高周波、1kHzなどの中間的な高周波、または、2.4GHzなどのマイクロ波周波数において動作する。電源30は、互いに重畳する2つの周波数にて動作するものでも良い。代替的には、電源30は直流(DC)電源であって、プラズマは振動しない。変形例の実施形態においては、電源30は、密なプラズマを得るための高周波(RF)である電力成分と、プラズマ密度に影響を与えずにイオンエネルギーを独立的に増加させるDC電力成分とを供給する。
本発明のある種の実施形態においては、電源30は、1又は複数の高周波にて動作するもので、インピーダンス・マッチング・ネットワーク(図示せず)を備えていて、電極22及び24とこれらの間に閉じ込められたプラズマによって代表される負荷から電源30に戻ってくる反射電力を測定する。インピーダンス・マッチング・ネットワークは、反射電力が最小になるように、電源30の動作周波数を調節する。そうしたマッチング・ネットワークの構成については、当業者に理解されている。例えば、インピーダンス・マッチング・ネットワークは、マッチング・ネットワークに含まれる可変コンデンサの容量を変化させることによって、マッチング・ネットワークを調節し、負荷の変動に対して、電源30のインピーダンスを負荷のインピーダンスに整合させる。電力及び電圧のレベル、及び動作周波数は、もちろん、特定の用途に応じて変えられる。
真空ポンプ36は、プラズマ処理装置10が動作している間には常に、プラズマ処理によって生成した副産物と、反応しなかった処理用ガスとを、真空マニホールド38を介して、プロセス領域40からポンプ排出する。真空ポンプ36は、プロセス領域40の総圧力を、プラズマが容易に生成できるほどに充分に低い部分真空レベルに維持すべく動作する。プラズマの生成に適している代表的な圧力は、約20ミリtorr〜約50torrを越えるまでの範囲である。プロセス領域40内の圧力は、特定の所望のプラズマ処理に従って制御され、かかる圧力は主として処理用ガスの分圧からなり、処理用ガスは1又は複数の独立したガス種であって、ガス抜きされたプロセス領域40に供給される。
プラズマ処理装置10は、マイクロプロセッサをベースとする制御装置を備えていて、この制御装置は、他の構成要素と併せて、特に電源30と真空ポンプ36と処理用ガス供給源114との動作を制御すべくプログラムされている。例えば、制御装置は、電源30については、電力レベル、電圧、電流、及び周波数を調節し、処理用ガス供給源114からの処理用ガスの供給と、真空ポンプ36のポンプ送出速度とを調和させて、プロセス領域40の中の圧力を、特定のプラズマ処理及び用途に応じた適切な圧力にする。
基板55の処理中には、後述の如く、蓋部14とベース部16とを接触させて、プラズマ処理に適した環境が得られると、電極22及び24の間に電源30によって加えられる電力のために、2つの電極22及び24の間で画定されるプロセス領域40に電磁場が生成する(図3B及び図4)。電磁場は、プロセス領域の中に存在している処理用ガスを励起して、これをプラズマ状態として、この状態は、プラズマ処理の持続時間にわたり電源30から電力が加えられることで維持される。
プラズマの構成成分は、基板55上に露出している材料と相互作用して、所望の表面改質を行う。プラズマは、基板55に所望の表面改質を行うべく構成されていて、そのために、処理用ガスの化学組成や、プロセス領域40の内部圧力、電極22及び24に加えられる電力の大きさ及び/又は周波数などのパラメータが選定される。処理装置10は、プラズマ処理(例えばエッチング処理)が所定の終点に達したことを自動的に認識するような終了点認識装置(図示せず)を備えているか、あるいは、代替的に、プラズマ処理は経験的に定められた処理時間に基づいた時間ベースにて行われる。
図3A及び図3Bを参照すると、上側電極22は、複数の電気的絶縁スペーサを介して、上側ハウジングから吊下されていて、図3Aにはスペーサ42及び44が、図4にはスペーサ46が示されている。本発明のひとつの実施形態では、上側電極22は矩形形状になっていて、上側電極22の各角部と蓋部14における各角部との間には、スペーサ42,44,46と同様な絶縁スペーサが配置されている。分離リング参照26を蓋部14に固定するための役目をもった保持リング48は、蓋部14の周辺に、在来式の固定具によって固定されている。その結果、昇降装置28によって、蓋部14がベース部16に対して上昇位置と下降位置との間にて移動すると、上側電極22と保持リング48とは、蓋部14と共に移動する。
シール部材50は、保持リング48が蓋部14に固定されたときに、加えられる垂直な力によって、分離リング26と上側電極22との間に圧縮される。蓋部14を下降させて、図3Bに示す如く、ベース部16に対して接触させると、シール部材52は、分離リング26と下側電極24の周辺との間にて圧縮される。シール部材50及び52は、在来式のエラストマーのOリングとして図示しているけれども、本発明はそれに限定されるものではない。
下側電極24には、基板ホルダ54が取り付けられていて、この基板ホルダは、1又は複数の基板55を支持するように構成され、あるいは、プロセス領域40の内部におけるプラズマ処理に適した位置に、1又は複数の基板55をそれぞれ支えるような1又は複数のキャリアを支持するように構成されている。基板ホルダ54は、下側電極24に対して良好に電気的に接触し、基板ホルダ54と基板55とは、下側電極24と同一である電位になっている。しかしながら、本発明はそうした態様に限定されるものではなく、変形例の実施形態においては、基板ホルダ54は、浮遊電位に置かれていて、下側電極24から電気的に絶縁されていても良い。本発明においては、基板55を上側電極22によって支持したり、分離リング26によって支持したりすることも想定している。蓋部14とベース部16とが接触しているとき、プロセス領域40は、垂直方向については、電極22及び24の内側を向いた水平面の間によって区切られ、横方向については、分離リング26により画定される側壁の内側を向いた垂直面によって区切られているような、空間として形成される。
ベース部16は開口61を備えていて、これにかぶせられて、ベースの薄壁金属製の囲み62が配置され、これは格納器12の構成要素になっている。下側電極24と、ベース部16及び囲み62との組立体の間には、ポンプ送出されることのない、大気圧のキャビティないし空気ギャップ58が形成されている。蓋部14と、蓋部14から取り外し可能である蓋カバー60と、上側電極22との間には、もうひとつの、ポンプ送出されることのない、大気圧のキャビティないし空気ギャップ56が形成されている。代表的には、空気ギャップ56及び58は、その寸法が、電極22及び24から、蓋部14、ベース部16、及び囲み62へのエネルギー損失が最小になるように定められ、図3Bに良く示されているように、電極22及び24の周縁を取り囲むギャップ56及び58の部分と分離リング26とによって、単一の連続した空気充填空間として互いに結合されている。
蓋部14が下降された位置にあるとき、蓋部14とベース部16とのそれぞれの周縁に保持されている、金属製である導電部材64が、蓋部14とベース部16との間に良好な電気的接触を与える。蓋部14と、ベース部16と、カバー60と、囲み62とが一緒になることで、実質的に閉じられた電気的に導電体のシェルが形成されて、このシェルは、電極22及び24に供給される電力を格納器12の内部に閉じ込めるためのシールドとして作用する。
伝送線34は、公知のやり方にて、下側電極24に電気的に接続されるものであって、開口61を介して下側電極24に経由している。伝送線32は、着脱可能である蓋カバー60と上側電極22との間の箇所を介して、蓋部14の中に入り、公知のやり方にて、上側電極22に電気的に接続されている。両方の電極22及び24が電源30に接続されて、電源30が交流電流電源である場合には、電極22及び24のうち一方は、電極22及び24の他方に対して180度の位相差にて駆動され、両方の電極22及び24に電力が与えられる。変形例としては、電極22及び24のうちの一方を接地して、電極22及び24の他方に電力を与えても良い。
本発明のある種の実施形態においては、処理装置10を冷却するため、特に電極22及び24を冷却するために、これらの空気ギャップ56及び58には、適当な冷媒が循環させられる。そのために、蓋部14に取付具57(図2)を設けることで冷媒ポートを形成し、冷媒供給源59(図2)を空気ギャップ56に結合させる。空気などの冷媒を、冷媒供給源59から取付具57を介して空気ギャップ56へと押し流し、電極22及び24のまわりに、空気ギャップ56及び58を通るような連続的な冷媒流れを確立させる。空気ギャップ58には、流れる冷媒を、処理装置10の周囲の開かれた環境に排出するような排気経路が設けられている。
電極22及び24と、分離リング26とによって境界を区切られた体積は、プロセス領域40を構成し、真空マニホールド38を除いて考えるならば、処理装置10において、真空ポンプ36によって排気される唯一の体積であり、ゆえに、プラズマ処理装置10における真空包絡面を形成するものである。このことは、従来のプラズマ処理装置との顕著な対照的な相違点であって、従来の装置においては、電極は真空チャンバの内部に配置されていて、電極のまわりには排気すべき相当に大きな容積があって、その中で、処理ガスを励起させ、利用可能な電力を用いて閉じ込められないプラズマを発生させていたが、かかる閉じ込められないプラズマは、電極22及び24の間に配置されたワークピース55を処理する上では利用できないものであった。その結果、従来のプロセスチャンバにおけるガス抜きされる体積に比べたとき、装置10における有効排気体積は著しく小さい体積になる。このことは、複数の効果を奏するもので、限定はしないが、それらの効果には、プラズマ密度の向上や、プラズマの励起に適した圧力になるようにプロセスチャンバのガス抜きを行うための所要時間の顕著な短縮、及び、プロセスチャンバを大気圧に逃がし乃至通気させるための所要時間の顕著な短縮が含まれる。これらの効果は、従来のプラズマ処理装置に比べると、スループットを高めることに貢献し、運転コストを引き下げ、目的とするプラズマ処理を施すために要する処理時間を短縮する。
電極22及び24は、例えばアルミニウムなど電気導電材料から形成されている。分離リング26は、非導電体の絶縁材料から形成されていて、この材料は、処理された基板55をひどい汚染をすることなく、プロセス領域40の内部のプラズマ環境において耐えることができる。このことは、一般的には、分離リング26を形成する材料が、プロセス領域40に存在するプラズマによるエッチングに対して、実質的に抵抗力を備えるべきであることを意味している。分離リング26は、不導体材料による垂直側壁を形成すると共に、電極22及び24の間に真空シールを提供する。
従来の真空チャンバを必要としないため、外部の電場の影響を低減化し、あるいは少なくとも著しく減少させる。より詳しくは、プラズマ処理装置10における電極22及び24は、従来の真空チャンバを特徴付けていた接地された金属製の壁によって、取り囲まれていることがない。代わりに、不導体である分離リング26が、効果的に働いて、プロセス領域40における垂直な側壁境界になる。従って、外部の電場の影響を最小限にとどめ、あるいは無くすことができ、等ポテンシャル曲線は基板55の全面にわたって均一になって、電極の縁部におけるフリンジグが生じることがなく、基板55にわたって均一な態様にて、プラズマ処理を進行させることが可能になる。
ひとつの実施形態においては、分離リング26のための絶縁材料として、ジェードガラス(すなわち、カルシウム・マグネシウム・鉄・珪酸塩、または珪酸・アルミニウム・鉄・珪酸塩)を用いたけれども、例えばアルミナや、フロートガラス、シリカ、又は石英などのその他のセラミック材料を使用することもできる。本発明の代替的な実施形態においては、分離リング26を構成する絶縁材料は、多数が存在するフルオロカーボン重合体のうちの任意のものであって、それらには限定はしないが、DuPont社によってTEFLON(登録商標)として販売されているテトラフルオロエチレンの単独重合体であるポリテトラフルオロエチレン(PTFE)や、DuPont社によってTEFLON(登録商標)FEPとして販売されているテトラフルオロエチレンとヘキサフルオロプロピレンとの共重合体であるパーフルオロエチレンプロピレン(FEP)、DuPont社によってTEFLON(登録商標)PFAとして販売されているテトラフルオロエチレンとパーフルオロビニルエーテルとの共重合体である、パーフルオロアルコキシフルオロカーボン樹脂(PFA)、または、DuPont社によってTEFZELの登録商標の下で販売されているエチレンとテトラフルオロエチレンとの共重合体である、エチレンテトラフルオロエチレン(ETFE)、が含まれる。分離リング26をそのような重合体を使用して構成することは、例えば、セラミックスを化学的に攻撃できるようなプラズマ種を用いる、エッチング用途において適している。分離リング26は、プロセス領域40における真空包絡面の一部分を構成するので、分離リング26は、ガス抜きされたプロセス領域40と、空気ギャップ56及び58の大気圧との圧力差から生じる外力に対して、充分に耐えられる強度を備えるように設計すべきである。
図3A及び図5を参照すると、下側電極24は、横方向に間隔を隔てた、一対の真空ポート66及び68を備えていて、これらの真空ポートのそれぞれは、真空マニホールド38における反対向きアーム74及び76の端部にそれぞれ設けられてなるフランジ付きポート70及び72のうちのひとつに対して、空間的に一致すべく位置決めされている。フランジ付きポート70及び72は、ボルト(図示せず)を介して、下側電極24に固定され、各シール部材78及び80を圧縮することで、真空シールを形成している。アーム74及び76は、垂直配管部分82にて一点に集められて、その先は真空ポンプ36につながっている。インサート88は、フランジ付きポート70の開口に部分的に受容され、またポート70を取り囲んでいる取付プレート84に部分的に受容される。同様に、インサート90が、フランジ付きポート72の開口に部分的に受容され、またポート72を取り囲んでいる取付プレート86における内側の一部分に受容される。また、フランジ付きポート70及び72のうち対応するひとつずつに、中心合わせリング92及び94が配置されている。ベース部16と下側電極24との間には、マニホールド取付スペーサ96及び98が配置され、これらはそれぞれ、真空ポート66及び68のうちのひとつに一致するような中央開口を有している。
互いに同一であるマニホールド取付スペーサ96及び98はそれぞれ、例えば熱可塑性エラストマー(TPE)などの電気的な絶縁材料から形成されていて、これらの存在は、格納器12のベース部16に対して下側電極24を絶縁する上で役に立っている。互いに同一であるインサート88及び90はそれぞれ、比較的高い誘電率をもったセラミックなどの電気的な絶縁材料から形成されていて、これらは、格納器12のベース部16と、真空マニホールド38のフランジ付きポート70及び72とに対して、下側電極24を電気的に絶縁する上で役に立っている。
インサート88及び90は、またある程度の意味では、中心合わせリング92及び94もまた、下側電極24と真空マニホールド38との間の結合部分の、さもなければ空洞であったはずの空間を充填する。下側電極24と真空マニホールド38とは間隔を隔てている。下側電極24と格納器12のベース部16との間に、電気的な絶縁が必要だからである。インサート88及び90と中心合わせリング92及び94が設けられていることから、仮にこれらがないならば開け放されていることになる、真空マニホールド38と下側電極24との間の空間において、プラズマが励起することが防がれる。インサート88及び90は、プラズマをプロセス領域40に閉じ込めるための帯電粒子フィルターとして、有効に機能する。
図3、図5、及び図7を参照すると、下側電極24におけるそれぞれの真空ポート66及び68は、流路100の配列が備えられていて、この流路100の配列は、それぞれ、インサート88及び90のうち対応する一方に形成され、流路100の配列に対応するような流路102の配列に対して、また、中心合わせリング92及び94のうち対応する一方に形成されてなる、対応する流路104の配列に対して、それぞれ位置合わせされる。真空ポンプ36は、プラズマ処理によって生成した副産物と、反応しなかった処理用ガスとを、位置合わせされた流路100、102、及び104を通し、真空マニホールド38を介して、プロセス領域40からポンプ排出する。流路100、102、及び104については、代表的には実質的に同一である配置及び寸法をもっており、これらの配置及び寸法に関しては、ポンピングコンダクタンスを最大化すると同時に、中空カソード効果に基づいたプラズマ励起を防ぐように選定される。その結果、プラズマはプロセス領域40に閉じ込められて、そのために、入力された励起電力が効率的に使用される。
図7A及び図7Bを参照すると、流路100、102、及び104のパターン及び形態は、図7に示した実施形態に限定されるものではなく、むしろ、プラズマ励起を生じさせることなく適当なポンピングコンダクタンスが得られるような、あらゆるパターン及び形態を含むものである。一般に、流路100、102、及び104は、排出されるガスの流れの方向に対して、垂直である方向において、間隔を隔てられている。ひとつの特定の代替的な実施形態として、図7Aを特に参照すると、図示のインサート88aに設けた流路102aと、図示の下側電極24aの真空ポート66aに設けた流路100aとは、平行であるスロット溝孔のセットとして構成されている。中心合わせリング(図示せず)における流路は、流路100a及び102aに対して一致するように構成される。ひとつの別の代替的な実施形態として、図7Bを特に参照すると、図示のインサート88bに設けた流路102bと、図示の下側電極24bの真空ポート66bに設けた流路100bとは、同軸的に屈曲したスロット溝孔のセットとして構成されている。中心合わせリング(図示せず)における流路は、流路100b及び102bに対して一致するように構成される。
図4及び図6を参照すると、上側電極22の水平である上面には、ガス入口板106が固定されている。ガス入口板106には、ガスポート108(図4)が延通していて、このガスポートは、導管110によって取付具112に結合されている。取付具112はさらに、送出ライン113を介して、処理用ガス供給源114(図2)に結合されている。送出ライン113と処理用ガス供給源114は、質量流量制御装置と流量測定装置(図示せず)とを備えていてこれらが協働して、個別の各処理用ガスについて、プロセス領域40への流量を調節する。ガス入口板106において、上側電極22に対面している平坦面106aは、複数の凹状である放射状のチャネル116を備えていて、これらのチャネルは、ガスポート108の箇所にて交差していると共に、ガスポートの箇所から周辺へ向けて分岐している。上側電極22には複数の打ち抜き孔ないしガス開口118が延通していて、これらが配列されているパターンは、ガス入口板106を上側電極22に固定したときに、それぞれのガス開口118が、ガス入口板106における放射状チャネル116のうちのひとつに位置合わせされるようになっている。在来式のシール部材120は、在来式のエラストマーのOリングとして図示されていて、ガス入口板106と上側電極22とが隣接する周縁まわりをシールするために設けられている。
ガスポート108に供給された処理用ガスは、放射状のチャネル116を介して、ガス開口118に分配される。処理用ガスは、下側電極24の上方における間隔を隔てた位置に、基板ホルダ54に支持された基板55の全域にわたるように配置されてなる、ガス開口118を通ることによって、プロセス領域40へと進入する。ガスの分配は、1又は複数のガス開口118に栓122を挿入し、処理用ガスの流れを有効に封鎖することによって、特定の処理用途に応じてあつらえることができる。本発明のひとつの実施形態においては、ガス開口118にはネジが設けられていて、栓122は適切なサイズをもつ止めネジである。ガス分配の調節は、処理済みの基板55上における処理の均一性を調べることによって、経験的に定められる。プロセス領域40の中への処理用ガスの流れと、真空ポンプ36のポンプ送出速度とを調節して、処理用ガスの分圧から、プラズマが容易に生成できるほどに充分に低いレベルに、プロセス領域40内における総ガス圧力を維持する。
本発明によるガス分配装置は、基板55の全域にわたる処理用ガスの均一な分布を促進し、ガス分布のパターンを調節可能であるという自在性を備える。本発明の変形例による実施形態においては、処理用ガスをプロセス領域40へ供給するために、ガス分配リングや、ガス注入器、単一のガスポートなど、異なったタイプのガス分配装置を用いても良い。
本発明においては、プロセス領域40内に、無イオンの又は下方へ流れるプラズマを発生させるように電極22を構成することをも想定している。電極22として適した構成は、共有され現在係属中である、2002年12月20日にJames Scott Tyler らが出願した、発明の名称が「プラズマ処理装置」である出願、第10/324,436号に開示されているので、同出願をここで参照してその全文を引用する。
本願において、「垂直」や「水平」などの用語は、説明の枠組みを確立するために用いられた例示であって、限定のための手段ではない。本願において、「水平」という用語は、その方位にかかわらず、電極22及び24の対向面のうちひとつを含む平面に対して実質的に平行である平面を定義している。「垂直」という用語は、上に記載した水平に対して垂直である方向を意味している。「上側」、「下側」、「上に」、「上方」、「下方」、「側方」(例えば「側壁」)、「高く」、「低く」、「わたって」、「直下に」、及び「下に」などの用語は、水平面に対して定義される。本発明の精神及び範囲を逸脱せずに、様々な別の参照用語の枠組みを採用することができ、当業者は、参照用語の枠組みは相対的なものであり、絶対的なものではないことを理解するだろう。
図8及び図9を参照すると、本発明の第2の実施形態によるプラズマ処理装置10aは、第1の処理レベルに対して垂直に積み重ねるようにして、第2の処理レベルを備えており、図1から図7と対応する要素には同一の参照符号を付している。これにより、1回の処理動作についての、装置10aのワークピース容量が増加して、装置10(図1から図7)に比べて、装置のスループットは拡大する。第2のレベルを設けるために、上側電極22と下側電極24との間に、中間電極130を挿入すると共に、実質的には分離リング26と同一である、追加的な分離部材ないし分離リング132を追加している。電極130と分離リング132とは、フレーム134に支持されていて、電気絶縁部材136(図8)によって、フレーム134に対して電気的に絶縁されている。
昇降装置28(図1及び図2)と類似した、昇降装置(図示せず)によって、蓋部14を上昇位置(図3A)へと移動させた後、フレーム134をベース部16に対して垂直に上昇及び下降させる。こうして、蓋部14とフレーム134とが下降位置にあるとき(図8及び図9)、上側電極22と分離リング26と中間電極130とによって境界を区切られてなる第1の部分40aと、下側電極24と中間電極130と分離リング132とによって境界を区切られてなる第2の部分40bとからなる、プロセス領域に対するアクセスが提供される。
フレーム134は、この代替的な実施形態においては、格納器12の一部分を構成していて、空気ギャップ56及び58に連続した空気ギャップ133によって、電極130及び分離リング132から隔てられている。蓋部14が下降された位置にあるとき、導電部材と同一又は類似である導電部材138は、フレーム134と蓋部14とのそれぞれの周縁に保持される。このとき、導電部材64は、フレーム134とベース部16とのそれぞれの周縁の間に保持される。蓋部14と、ベース部16と、囲み62と、フレーム134とが一緒になることで、実質的に閉じられた電気的に導電体のシェルが形成されて、このシェルは、電極22及び24に供給される電力を格納器12の内部に閉じ込めるためのシールドとして作用する。
プロセス領域における2つの部分40a及び40bは、中間電極130の周縁まわりに配置された流路135の配列(図9)によって連通している。プロセス領域40bは、真空ポート66及び68によって直接的にガス抜きされ、プロセス領域40bは流路135を介してポンプ排出される。プロセス領域40(図3から図7)の場合と同様に、装置10において、プロセス領域40a及び40bは排気される唯一の容積であり、これ故に、装置10に関して上述したのと同一である様々な効果と利益が得られる。本発明は、2つの処理レベルに限定されるものではなく、同様なやり方でさらに追加的なレベルを導入することができる。
シール部材50と同一又は類似である、シール部材50aは、保持リング137によって加えられる垂直な力によって、分離リング132と、中間電極130における下側部分130aの周辺との間に圧縮される。シール部材52と同一又は類似である、シール部材52aは、分離リング26と、中間電極130における上側部分130bの周辺との間に圧縮される。このとき、シール部材52は、分離リング132と下側電極24との間に圧縮されることになる。
フレーム134は、昇降装置(図示せず)に取り付けられていて、電極130と分離リング132から構成された組立体を、ベース部16に対して上昇させる。格納器12における蓋部14を電極130に対して上昇させた後には、電極130と分離リング132とから構成された組立体をベース部16に対して移動させて、電極130に取り付けられた基板ホルダ138にアクセスする。基板ホルダ54と同一である基板ホルダ140は、1又は複数の基板55を支持するように構成され、あるいは、プロセス領域40aの内部におけるプラズマ処理に適した位置に、1又は複数の基板55をそれぞれ支えるような1又は複数のキャリアを支持するように構成されている。同様に、このとき基板ホルダ54を保持している基板ホルダ54は、1又は複数の基板55を支持するように構成され、あるいは、プロセス領域40bの内部におけるプラズマ処理に適した位置に、1又は複数の基板55をそれぞれ支えるような1又は複数のキャリアを支持するように構成されている。
中間電極130は、上側電極22と同様に構成されてなる下側部分130aを備え、ガス分配装置を備えていて、プロセス領域40bに処理用ガスを均等かつ均一に分配させると共に、その上側部分130は基板ホルダ140を支持している。中間電極130における下側部分130aは、ガス入口板106と同一又は類似であるガス入口板142を備えていて、ガス入口板にはガスポート144が延通していて、このガスポートは、導管146によって取付具148に結合され、取付具はさらに、処理用ガス供給源114(図2)に結合されている。ガス入口板142において、中間電極130の下側部分130aに対面している平坦面は、複数の凹状である放射状のチャネル150を備えていて、これらのチャネルはチャネル116と同一又は類似であって、ガスポート144の箇所にて交差していると共に、ガスポートの箇所から周辺へ向けて分岐している。下側部分130aには、ガス開口118と同一又は類似である、複数の打ち抜き孔ないしガス開口152が延通していて、これらが配列されているパターンは、それぞれのガス開口152が、ガス入口板142における放射状チャネル150のうちのひとつに位置合わせされるようになっている。在来式のシール部材154は、在来式のエラストマーのOリングとして図示されていて、ガス入口板142と中間電極130の下側部分130aとが隣接する周縁まわりをシールするために設けられている。本発明のこの実施形態においては、ガス開口118は、プロセス領域40aの中にあるワークピース55の対向面にわたって処理用ガスを均一に分配させ、同様に、ガス開口152は、プロセス領域40bの中にあるワークピース55の対向面にわたって処理用ガスを均一に分配させる。
伝送線156は、公知のやり方にて、中間電極130に電気的に接続されている。代表的には、3つのすべての電極22、24、及び130は、電源30に接続されて、電源30が交流電流電源である場合には、中間電極130は、その他の電極22及び24に対して180度の位相差にて駆動される。
本発明について、様々な実施形態の説明によって例示し、これらの実施形態は詳細であると考えられるものであるけれども、本出願人による発明は、そうした詳細事項に限定されるものではなく、特許請求の範囲もそれらの詳細事項に限定されるものではない。当業者にとっては、追加的な利点や改変が明らかである。従って、広義における本発明は、特定の詳細事項や代表的な装置及び方法、及び図示して説明した例示的な実施例に限定されるものではない。従って、本願出願人の一般的な発明概念の精神及び範囲から逸脱せずに、そうした詳細事項から逸脱することは可能である。
本発明の実施形態によるプラズマ処理装置を示した斜視図である。 図1のプラズマ処理装置を示した側面図である。 図1及び図2のプラズマ処理装置を示した前面断面図である。 図3Aと同様な断面図であって、格納器の蓋部を格納器のベース部に係合させた状態について示している。 図1のプラズマ処理装置を示した側面断面図である。 図1のプラズマ処理装置における格納器のベース部について示した分解図である。 図1のプラズマ処理装置における格納器の蓋部について示した分解図である。 図3Aの線7−7に沿っての上面図である。 図7と同様な上面図であって、本発明の変形例による実施形態について示している。 図7と同様な上面図であって、本発明のさらに別の変形例による実施形態について示している。 図3A及び図4に類似した前部及び側部断面図であって、本発明の代替的な実施形態によるプラズマ処理装置について示している。 図3A及び図4に類似した前部及び側部断面図であって、本発明の代替的な実施形態によるプラズマ処理装置について示している。

Claims (17)

  1. プラズマを用いて基板を処理するための装置であって、
    第1の電極と、
    該第1の電極に対し離して配置された第2の電極と、
    該第1の電極と該第2の電極との間に真空気密シールを形成し、該第1の電極と該第2の電極との間に排気可能なプロセス領域を形成する分離リングであって、該第1の電極はプラズマ処理のために基板を該プロセス領域内に支持し、該第1の電極と該第2の電極とを電気的に絶縁するような分離リングと、
    該プロセス領域へ処理用ガスを導入するための処理用ガスポートと、
    該プロセス領域内の処理用ガスからプラズマを発生させるのに適した圧力にすべく、該プロセス領域を排気するための真空ポートと、
    を備えていることを特徴とする装置。
  2. 請求項1に記載の装置であって、前記装置はさらに、
    該真空ポートに結合された真空マニホールドであって、該第1の電極および該第2の電極に対して電気的に絶縁されているような真空マニホールドを備えていることを特徴とする。
  3. 請求項2に記載の装置であって、該真空マニホールドは、
    前記装置において、前記真空マニホールドは、前記真空ポートの近接した閉じた容積を含み、
    この装置はさらに、
    電気絶縁材料から作られ、該閉じた容積内に配置されるインサートであって、前記真空マニホールドを前記真空ポートに結合させる複数の第1の流路を含んでいるような前記インサートを備えていることを特徴とする装置。
  4. 請求項3に記載の装置であって、
    該真空ポートは、前記第1の電極を通って延在する複数の第2の流路により画定され、前記複数の第1の流路に位置合わせされていることを特徴とする装置。
  5. 請求項1に記載の装置であって、
    前記装置はさらに、前記真空ポートに結合され、該記プロセス領域内の処理用ガスからプラズマを発生させるような該圧力にするように、該プロセス領域を排気するための真空ポンプを備えていることを特徴とする装置。
  6. 請求項1に記載の装置であって、
    前記装置はさらに、前記処理用ガスポートに結合され、前記プロセス領域に処理用ガスを導入するための処理用ガス供給源を備えていることを特徴とする装置。
  7. 請求項1に記載の装置であって、
    前記第2の電極は、前記処理用ガスポートから前記プロセス領域に処理用ガスを流すようなパターンで配置された複数の開口を備えていることを特徴とする装置。
  8. 請求項1に記載の装置であって、
    前記プロセス領域の内部に配置され、前記第1の電極上に基板を支持すべく構成されてなる基板ホルダをさらに備えていることを特徴とする装置。
  9. 請求項8に記載の装置であって、
    前記基板ホルダは、前記第1の電極に電気的に接続されていることを特徴とする装置。
  10. 請求項1に記載の装置であって、
    前記装置はさらに、
    前記分離リングと前記第1の電極と前記第2の電極とを取り囲むような、導電体の格納器を備え、前記第1の電極及び前記第2の電極は、空気ギャップによって前記導電体格納器から隔てられていることを特徴とする装置。
  11. 請求項10に記載の装置であって、
    前記格納器は、ベース部と、前記プロセス領域にアクセスすべく、開位置と閉位置との間にて該ベース部に対して可動であるような蓋部とを備え、該蓋部は、該ベース部に対して動かせるように前記第1の電極を支持していることを特徴とする装置。
  12. 請求項10に記載の装置であって、
    前記第1の電極及び前記第2の電極を冷却すべく、前記空気ギャップへ冷媒流体の流れを供給するための冷媒ポートを前記蓋部にさらに備えていることを特徴とする装置。
  13. 請求項1に記載の装置であって、
    前記第1の電極は、該真空ポートを備え、該第2の電極は前記処理用ガスポートを備えていることを特徴とする装置。
  14. 請求項13に記載の装置であって、
    前記第2の電極は該処理用ガスポートに結合されてなる複数のガス開口を備え、前記複数のガス開口は前記第2の電極において、基板における対向面にわたって処理用ガスを分配させるように配置されていることを特徴とする装置。
  15. 複数の基板をプラズマ処理するための装置であって、
    第1の電極と、
    該第1の電極に対して離して配置された第2の電極と、
    該第1の電極と該第2の電極との間に配置された、第3の電極と、
    前記第1の電極と該第3の電極との間に真空気密シールを形成し、該第1の電極と該第3の電極との間に排気可能な第1のプロセス領域を形成する第1の分離リングであって、該第1の電極は、プラズマ処理のために基板を該第1のプロセス領域内に支持し、該第1の電極と該第3の電極とを電気的に絶縁する第1の分離リングと、
    該第2の電極と該第3の電極との間に真空気密シールを形成し、該第2の電極と該第3の電極との間に排気可能な第2のプロセス領域を形成する第2の分離リングであって、該第3の電極は、プラズマ処理のために基板を前記第2のプロセス領域内に支持し、該第2の電極と該第3の電極とを電気的に絶縁する第2の分離リングと、
    該第1のプロセス領域と第2のプロセス領域とへ処理用ガスを導入するための少なくともひとつの処理用ガスポートと、
    該第1のプロセス領域および該第2のプロセス領域の中を処理用ガスからプラズマを発生させるような圧力にするための該プロセス領域を排気するための真空ポートと、
    を備えていることを特徴とする装置。
  16. 請求項15に記載の装置であって、前記真空ポートは前記第2の電極に形成されていることを特徴とする装置。
  17. 請求項16に記載の装置であって、前記第1の電極は前記第1のプロセス領域へ処理用ガスを導入するための第1の処理用ガスポートを備え、前記第3の電極は前記第2のプロセス領域へ処理用ガスを導入するための第2の処理用ガスポートを備えていることを特徴とする装置。
JP2005204419A 2004-07-13 2005-07-13 超高速均一プラズマ処理装置 Active JP5054901B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/710,457 US7845309B2 (en) 2004-07-13 2004-07-13 Ultra high speed uniform plasma processing system
US10/710,457 2004-07-13

Publications (3)

Publication Number Publication Date
JP2006032344A true JP2006032344A (ja) 2006-02-02
JP2006032344A5 JP2006032344A5 (ja) 2010-08-12
JP5054901B2 JP5054901B2 (ja) 2012-10-24

Family

ID=35115691

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005204419A Active JP5054901B2 (ja) 2004-07-13 2005-07-13 超高速均一プラズマ処理装置

Country Status (6)

Country Link
US (1) US7845309B2 (ja)
EP (1) EP1617457B1 (ja)
JP (1) JP5054901B2 (ja)
CN (1) CN1728916B (ja)
SG (2) SG119365A1 (ja)
TW (1) TWI392402B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021532598A (ja) * 2018-07-30 2021-11-25 ノードソン コーポレーションNordson Corporation プラズマを用いたワーク処理用のシステム

Families Citing this family (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
EP1866465A2 (en) 2005-01-18 2007-12-19 ASM America, Inc. Reaction system for growing a thin film
JP5399245B2 (ja) * 2006-08-22 2014-01-29 ノードソン コーポレーション 処理システムでワークピースを扱うための装置及び方法
TWM359810U (en) * 2007-02-28 2009-06-21 Applied Materials Inc Power source device and plasma apparatus
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US20110146577A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Showerhead with insulated corner regions
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN103165368B (zh) * 2011-12-16 2016-02-03 中微半导体设备(上海)有限公司 一种温度可调的等离子体约束装置
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
KR101505536B1 (ko) * 2012-05-14 2015-03-25 피에스케이 주식회사 배플 및 이를 가지는 기판 처리 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9385017B2 (en) 2012-08-06 2016-07-05 Nordson Corporation Apparatus and methods for handling workpieces of different sizes
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
EP2898757A4 (en) 2012-09-19 2016-04-27 Apjet Inc APPARATUS AND METHOD FOR ATMOSPHERIC PRESSURE PLASMA PROCESSING
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10777387B2 (en) * 2012-09-28 2020-09-15 Semes Co., Ltd. Apparatus for treating substrate
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
CN103607836A (zh) * 2013-11-27 2014-02-26 苏州市奥普斯等离子体科技有限公司 一种新型等离子体处理装置
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9852905B2 (en) * 2014-01-16 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for uniform gas flow in a deposition chamber
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6473619A (en) * 1987-09-14 1989-03-17 Fujitsu Ltd Method and apparatus for low-pressure process
JPH02294029A (ja) * 1989-05-08 1990-12-05 Nec Kyushu Ltd ドライエッチング装置
JPH05190500A (ja) * 1992-01-09 1993-07-30 Anelva Corp プラズマ処理装置
JPH05315295A (ja) * 1992-05-08 1993-11-26 Hitachi Sci Syst:Kk プラズマエッチング装置
JPH08250439A (ja) * 1995-03-15 1996-09-27 Canon Inc 堆積膜形成装置
JPH08260158A (ja) * 1995-01-27 1996-10-08 Kokusai Electric Co Ltd 基板処理装置
JPH08279399A (ja) * 1994-12-15 1996-10-22 Applied Materials Inc プラズマ反応器の可変dcバイアス制御
JPH1070109A (ja) * 1996-06-20 1998-03-10 Applied Materials Inc プラズマチャンバ
JP2790878B2 (ja) * 1988-11-16 1998-08-27 治久 木下 ドライプロセス装置
JPH11288890A (ja) * 1998-04-03 1999-10-19 Fuji Electric Corp Res & Dev Ltd 薄膜製造装置
JP2000030898A (ja) * 1998-07-09 2000-01-28 Kokusai Electric Co Ltd プラズマ処理装置
JP2000091245A (ja) * 1998-09-07 2000-03-31 Kokusai Electric Co Ltd 基板処理装置および基板処理方法
JP2000215835A (ja) * 1999-01-25 2000-08-04 Matsushita Electric Ind Co Ltd 真空処理装置および真空処理方法
JP2002064064A (ja) * 2000-08-21 2002-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
JP2002126675A (ja) * 2000-10-30 2002-05-08 Yamato Scient Co Ltd プラズマ洗浄装置
JP2002151471A (ja) * 2000-11-10 2002-05-24 Tokyo Electron Ltd プラズマ処理装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4367114A (en) * 1981-05-06 1983-01-04 The Perkin-Elmer Corporation High speed plasma etching system
US4381965A (en) * 1982-01-06 1983-05-03 Drytek, Inc. Multi-planar electrode plasma etching
JPS6295828A (ja) 1985-10-23 1987-05-02 Hitachi Ltd プラズマ処理装置
JPS62299031A (ja) 1986-06-18 1987-12-26 Nec Corp 平行平板型エツチング装置の電極構造
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
US5376211A (en) * 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP3668535B2 (ja) * 1995-08-14 2005-07-06 株式会社アルバック エッチング装置
EP0831680A4 (en) * 1996-03-28 2000-02-02 Sumitomo Metal Ind DEVICE AND METHOD FOR TREATING PLASMA
US6051100A (en) * 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
US6251216B1 (en) * 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
CN2360422Y (zh) * 1998-10-06 2000-01-26 安德祥 用于气相沉积的自动化镀膜装置
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP4554824B2 (ja) * 1999-03-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置,そのメンテナンス方法およびその施工方法
JP2002110646A (ja) * 2000-09-29 2002-04-12 Tokyo Electron Ltd プラズマ処理装置
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
TW577122B (en) * 2003-04-08 2004-02-21 Sp Probe Inc Plasma etching method and device

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6473619A (en) * 1987-09-14 1989-03-17 Fujitsu Ltd Method and apparatus for low-pressure process
JP2790878B2 (ja) * 1988-11-16 1998-08-27 治久 木下 ドライプロセス装置
JPH02294029A (ja) * 1989-05-08 1990-12-05 Nec Kyushu Ltd ドライエッチング装置
JPH05190500A (ja) * 1992-01-09 1993-07-30 Anelva Corp プラズマ処理装置
JPH05315295A (ja) * 1992-05-08 1993-11-26 Hitachi Sci Syst:Kk プラズマエッチング装置
JPH08279399A (ja) * 1994-12-15 1996-10-22 Applied Materials Inc プラズマ反応器の可変dcバイアス制御
JPH08260158A (ja) * 1995-01-27 1996-10-08 Kokusai Electric Co Ltd 基板処理装置
JPH08250439A (ja) * 1995-03-15 1996-09-27 Canon Inc 堆積膜形成装置
JPH1070109A (ja) * 1996-06-20 1998-03-10 Applied Materials Inc プラズマチャンバ
JPH11288890A (ja) * 1998-04-03 1999-10-19 Fuji Electric Corp Res & Dev Ltd 薄膜製造装置
JP2000030898A (ja) * 1998-07-09 2000-01-28 Kokusai Electric Co Ltd プラズマ処理装置
JP2000091245A (ja) * 1998-09-07 2000-03-31 Kokusai Electric Co Ltd 基板処理装置および基板処理方法
JP2000215835A (ja) * 1999-01-25 2000-08-04 Matsushita Electric Ind Co Ltd 真空処理装置および真空処理方法
JP2002064064A (ja) * 2000-08-21 2002-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
JP2002126675A (ja) * 2000-10-30 2002-05-08 Yamato Scient Co Ltd プラズマ洗浄装置
JP2002151471A (ja) * 2000-11-10 2002-05-24 Tokyo Electron Ltd プラズマ処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021532598A (ja) * 2018-07-30 2021-11-25 ノードソン コーポレーションNordson Corporation プラズマを用いたワーク処理用のシステム
JP7451490B2 (ja) 2018-07-30 2024-03-18 ノードソン コーポレーション プラズマを用いたワーク処理用のシステム

Also Published As

Publication number Publication date
EP1617457A2 (en) 2006-01-18
CN1728916A (zh) 2006-02-01
US7845309B2 (en) 2010-12-07
JP5054901B2 (ja) 2012-10-24
US20060011299A1 (en) 2006-01-19
TWI392402B (zh) 2013-04-01
EP1617457B1 (en) 2011-12-28
CN1728916B (zh) 2011-06-01
SG119365A1 (en) 2006-02-28
TW200616498A (en) 2006-05-16
EP1617457A3 (en) 2006-10-18
SG137851A1 (en) 2007-12-28

Similar Documents

Publication Publication Date Title
JP5054901B2 (ja) 超高速均一プラズマ処理装置
TWI754077B (zh) 電漿處理裝置
US5464476A (en) Plasma processing device comprising plural RF inductive coils
KR100900595B1 (ko) 플라즈마 한정 및 유동 컨덕턴스 강화 방법 및 장치
KR101891445B1 (ko) 플라즈마 처리 장치 및 그것에 이용하는 배기 구조
US20180240686A1 (en) Semiconductor Processing System Having Multiple Decoupled Plasma Sources
US8900402B2 (en) Semiconductor processing system having multiple decoupled plasma sources
US8372238B2 (en) Multiple-electrode plasma processing systems with confined process chambers and interior-bussed electrical connections with the electrodes
KR101925972B1 (ko) 플라즈마 처리 장치 및 이에 이용되는 배기 구조
US20030209324A1 (en) Plasma reactor with reduced reaction chamber
KR20220138422A (ko) 순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버
CN105431924B (zh) 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
KR20170092135A (ko) 플라즈마 처리 용기 및 플라즈마 처리 장치
KR101947844B1 (ko) 다수의 디커플링된 플라즈마 소스들을 갖는 반도체 프로세싱 시스템
WO2006011336A1 (ja) 高周波プラズマ処理装置および高周波プラズマ処理方法
TW392215B (en) Surface processing apparatus
KR20060103107A (ko) 플라즈마 처리 장치
KR101771667B1 (ko) 유전체 장벽 방전용 전극 조립체 및 이를 이용한 플라즈마 처리장치
CN111863578A (zh) 一种等离子体处理设备
KR100673597B1 (ko) 플라즈마 챔버
JP2001023972A (ja) プラズマ処理装置
KR200426498Y1 (ko) 플라즈마 공정 챔버에서 이용하기 위한 프로세스 키트
KR100686284B1 (ko) 상부 전극 유닛 및 이를 이용한 플라즈마 처리 장치
JPH0745598A (ja) プラズマ発生装置
KR20020012114A (ko) 포토레지스터 애싱 장치

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080714

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080714

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100625

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110131

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110428

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110509

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110728

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120702

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120730

R150 Certificate of patent or registration of utility model

Ref document number: 5054901

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150803

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250