CN1728916A - 超高速均匀等离子处理系统 - Google Patents

超高速均匀等离子处理系统 Download PDF

Info

Publication number
CN1728916A
CN1728916A CN200510083627.9A CN200510083627A CN1728916A CN 1728916 A CN1728916 A CN 1728916A CN 200510083627 A CN200510083627 A CN 200510083627A CN 1728916 A CN1728916 A CN 1728916A
Authority
CN
China
Prior art keywords
electrode
treatment region
vacuum
equipment
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200510083627.9A
Other languages
English (en)
Other versions
CN1728916B (zh
Inventor
罗伯特·S·康德拉斯霍夫
詹姆斯·P·法西奥
詹姆斯·D·格蒂
詹姆斯·S·泰勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nordson Corp
Original Assignee
Nordson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nordson Corp filed Critical Nordson Corp
Publication of CN1728916A publication Critical patent/CN1728916A/zh
Application granted granted Critical
Publication of CN1728916B publication Critical patent/CN1728916B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

一种用于等离子处理基片的设备。所述设备包括相互分隔放置的第一电极和第二电极。分隔环对所述第一电极和第二电极的相对面进行真空密封,以在其间限定可抽空的处理区。处理气口与所述真空区相通,以将处理气体引入所述处理区内。当所述第一电极和第二电极通电后,所述处理区可通过位于第一电极和第二电极中的某一个内的真空口而进行抽空,以在处理区中形成适当气压,并从处理气体中激发出等离子。

Description

超高速均匀等离子处理系统
发明领域
本发明总的涉及一种用等离子处理基片的处理设备。
发明背景
在不同的工业应用中,等离子处理系统常用于调整基片的表面性能。举例而言,在半导体应用、太阳能电池板、氢燃料电池元件、汽车元件以及平面显示器的矩形玻璃基片等应用中,等离子处理系统是用于等离子处理集成电路表面、电子仪器组件以及印刷电路板的常规手段。等离子处理系统还用于医疗应用中,可改变植入人体内部的诸如支架和植入物此类医疗器械的表面性能。对于基于现有平行板型电极的等离子处理系统而言,当其对位于处理电极间处理区内的相对较大基片进行处理时,可能会出现处理不均匀的情况。
当射频电源供应到电极时,等位场线沿基片表面发生感应。在等离子处理过程中,从处理区内等离子中产生的正离子沿等位场线加速运动,并与基片表面相碰撞。等离子一般分布在整个处理室的真空空间中,所述处理室环绕电极,且在电极间具有最高的可观测等离子密度。电极间处理区内等离子密度的均匀性会受到诸如接地室侧壁这样的外部场效应因素的影响,从而使电极间的等电位电场线发生变化,进而改变等离子分量充电组元的分布状态。在处理区的外周边,这种不均匀性尤为明显。
减小外部电磁场作用因素的常规方法之一是加大处理室容积,从而使接地室侧壁更加远离电极。除了其它不利因素之外,尤其加大了处理室容积和处理系统的覆盖区域。由于处理室容积的增大,从而导致为放入未处理基片而抽空处理室的时间和为取出处理完毕的基片而使处理室气压增至大气压的时间均延长。尤其的,在用于进行连续等离子处理大量基片的串行等离子处理系统中,由于在每个处理周期后更换基片时,都需要定期抽空和向处理室充气,如果出现上述情况,就会显著降低系统的处理量,从而产生极为不利的影响。
现有等离子处理系统的另一不足之处在于,等离子是在处理室内的真空区内偶然生成的,所述处理室位于电极间的处理区边部。由于等离子在上述区域生成,从而致使等离子处理难于控制,并且可能会损伤位于上述区域中的元件。这种不定型等离子还可能改变等离子处理室中由等离子吸收的能量的位置,从而不易控制向电极发送的能量,以获得连续和可重复的处理过程。
用于限定等离子的现有方法大致包括利用排斥场,其本质上为电场或磁场。一种特定的现有方法是在平行板型电极外周环绕限制环。所述限制环从某一绝缘体处形成,并充电至与等离子相当的电位,从而生成排斥电场,用于横向限制等离子。但是,电极和所述限制环仍然位于相当大的真空室内,并被其包围,从而仍需要进行抽空,并且等离子仍可能发生泄漏。所述限制环与气隙一同安置,从而可对电极之间的处理区进行充分抽空。
因此,据此所述,本文意于提出一种等离子处理系统,其可克服现有等离子处理系统的上述及其它不足。
发明概要
在本发明的一个实施例中,用于等离子处理基片的设备包括第一、第二电极和分隔环,所述第一、第二电极分隔放置,所述分隔环可对所述第一、第二电极的相对面进行真空密封,从而在第一和第二电极之间限定可抽空的真空处理区。所述第一和第二电极均用于支撑处理区内用于等离子处理的基片。所述分隔环将所述第一电极和第二电极相绝缘。所述设备还包括处理气口和真空口,当所述第一和/或第二电极通电后,所述处理气口将处理气体引入处理区内,所述真空口将处理区抽空至一定气压,以适于从处理区内的处理气体中生成等离子。
通过附图及附图说明,可对本发明上述及其它优点更为了解。
附图简要说明
所述附图加入本说明书中并构成说明书内容的一部分,其阐述了本发明的各实施例,同时对前文所述发明进行大致描述,并在对后文对其进行详细说明,以解释本发明的原理。
图1是根据本发明的实施例而提出的等离子处理系统的立体图;
图2是图1中等离子处理系统的侧面图;
图3A是图1和图2中等离子处理系统的正截面视图;
图3B是与图3A相类似的正截面视图,用于说明与封闭底座相配合的封闭盖件;
图4是图1中等离子处理系统的侧截面视图;
图5是图1中等离子处理系统的所述封闭底座的分解视图;
图6是图1中等离子处理系统的所述盖件的分解视图;
图7是图3A中沿7-7线而形成的俯视图;
图7A和图7B与图7相类似,用于说明本发明的可选实施例;和
图8和图9与图3A和图4相类似,是本发明可选实施例中等离子处理系统的正视图和侧视图。
发明详述
参看图1和2,等离子处理系统10大致包括具有盖件14的外壳12和盖件14置于其上的底座16、从盖件14处悬出的一对支撑臂18、20以及上电极22和下电极24。所述处理系统10进一步包括分隔部件或环件26,其位于上电极22和下电极24之间,并与上电极22和下电极24周边附近的相对面相接触。所述电极22和24的相对面是大致平面的平行板,且具有近似相同的表面积。遮板25从底座16处朝表面支撑系统10向下延伸。
起重装置28与所述支撑臂18、20机械配合,起到气压缸的作用,可将盖件14相对于所述底座16在升高位置(图3A)和降低位置(图3B)之间抬高和降低。当处于升高位置时,可向如下所限定的处理区40(图3B)中放入未处理的基片55,或是从中取出处理完毕的基片55。当处于降低位置时(图3B),在处理区40中可形成适当环境,以对处理区40内的基片55进行等离子处理。本发明认为所述处理区40可通过本领域普通技术人员所能理解的可选方法而得到,诸如可通过铰接方式而使盖件14相对于底座16发生枢轴转动。
在串行应用中,所述处理系统10可配置用于供应未处理基片55的输入承载器、用于接收处理完毕基片55的输出承载器,以及将基片55从所述输入承载器转移至处理室和从处理室转移至所述输出承载器的机械手或类似装置。另外,在处理多个非连续基片55时,可使其中的每个基片55独立进入所述处理系统10中,或者使其中的一个或多个基片55连带进入所述处理系统10中。非连续基片55还可位于支撑物或承载器之上,并从该处运送入所述处理系统中。所述处理系统10可在多个处理站之间配置单独处理站,从而以流水线方式在多个处理站之间协同处理多个基片55。
电源30通过屏蔽式同轴电缆或传输线路32、34分别连接至电极22、24,用以控制所述电极22、24工作时的电能级别和频率。所述电源30可为交流电源,并可以在诸如50Hz或60Hz这样的极低频率下工作,也可以在诸如40kHz和13.56kHz这样的高频下工作,还可以在诸如1kHz这样的中等射频下工作,或者是在诸如2.4GHz这样的微波频率下工作。所述电源30还可在彼此重叠的双频下工作。可选的,所述电源30可为直流(DC)电源,其中的等离子处于非振荡态。在另一可选实施例中,电源30可配备射频(RF)电源元件,以生成高密度等离子,也可配备直流电源元件,以便在不影响等离子密度的情况下单独增加离子能量。
在本发明的某些实施例中,所述电源30可在一种或更多射电频率下工作,并可包括阻抗匹配网络(未标出),以测量来自电极22、24等负载处的反馈功率,以及从所述电极22、24之间返回电源30的等离子。所述阻抗匹配网络可调节电源30的工作频率,以减少反馈功率。此类匹配网络的结构已为本领域的普通技术人员所熟知。举例而言,所述阻抗匹配网络可通过改变匹配网络内可调电容器的电容量而对匹配网络进行调节,从而当负载变化时,电源30的阻抗可与负载的变化相匹配。勿庸置疑,电源、电压以及工作频率的级别会根据特定的应用场合而发生变化。
当所述等离子处理系统10运行时,真空泵36通过真空集流管38,连续地将由等离子处理产生的副产品和非反应处理气体从处理区40中排出。所述真空泵36用于使处理区40内的总气压维持在某一足够低的负压状态,以利于等离子的生成。一般适于形成等离子的典型气压约在20毫托至50托以上。处理区40内的气压可根据某一特定所需的等离子处理而进行控制,其基本上由供应至真空处理区40处的处理气体的分压而构成,从而可能包括一种或多种单独的气体种类。
所述等离子处理系统10包括基于微处理器的控制器,用于编程控制尤其是电源30、真空泵36和处理气体源114这些部件。举例而言,所述控制器可调节电源30的电源能级、电压、电流和频率,并协调从处理气体源114中流出的处理气体的供应情况,以及对真空泵36的抽气速率进行协调,以便根据特定的等离子处理和应用而在处理区40中形成适当的气压。
如下所述,在基片55的处理过程中,当盖件14和底座16相接触,且具有适于等离子处理的环境时,电源30向电极22、24之间通电,从而在两电极22、24之间的处理区40(图3B和图4)中生成电磁场。所述电磁场激发处理区内的处理气体,使其处于等离子状态,在等离子处理过程中,电源30供电并维持上述过程的进行。
等离子组元与所述基片55上的暴露材料发生反应,以对其表面进行理想化调整。通过选择所述等离子的某些参数,诸如处理气体的化学性质、处理区40内的气压、以及施加于电极22、24上的电能和/或频率高低,便可以对等离子进行调节,使其可对基片55的表面进行理想化调整。所述处理系统10可包括终端识别系统(未标出),从而当等离子处理(即蚀刻处理)已达到预定终端,或者可选择的,等离子处理到达按照经验而选定的工艺时间时,所述终端识别系统可自动进行识别。
参看图3A和3B,上电极22通过多个绝缘定位件从上壳体处悬下,其中定位件42和44在图3A中可见,定位件46在图4中可见。在本发明的一个实施例中,上电极22为矩形,与定位件42、44和46相类似的绝缘定位件位于上电极22的各拐角和盖件14的各拐角之间。止推环48通过常规夹持器而固定至盖件14的四周,其用于将分隔环26固定至盖件14上。从而,当起重装置28拉动盖件14,使之在上升位置和下降位置之间相对于底座16运动时,上电极22和止推环48便可随盖件14一同运动。
当将止推环48固定于盖件14上时,所述止推环48向密封部件50施加垂直作用力,使其在分隔环26和上电极22之间受到挤压作用。如图3B所示,当盖件14下降至与底座16相接触的位置时,密封部件52在分隔环26和下电极24的外周之间受到挤压作用。图例中所述密封部件50、52为常用弹性O型环,但本发明对此并无限制。
基片支座54安装于下电极24上,其结构可从支撑一个或多个基片55,或者支撑一个或多个承载器,所述承载器可承载一个或多个基片55,同时基片支座54位于处理区40内,适于进行等离子处理。所述基片支座54与下电极24之间具有良好的电接触,从而基片支座54和基片55与下电极24具有相同的电位。在本发明的一个实施例中,所述基片支座54具有浮动电位,并与下电极24绝缘,但本发明并不限于此。本发明还认为基片55可由上电极22或分隔环26进行承载。当盖件14和底座16相接触时,便形成处理区40,电极22、24之间的内向水平表面对所述处理区进行水平方向的限定,分隔环26侧壁的内向垂直表面对所述处理区进行垂直方向的限定。
所述底座16包括开口61,底座薄壁金属闭合件62位于该开口上方,并形成所述外壳12的一部分。未抽空的大气压腔或气隙58分别位于下电极24、底座16的组件以及闭合件62之间。另一未抽空的大气压腔或气隙56限定在盖件14、可从盖件14上移除的盖件表层60以及上电极22之间。一般而言,所述气隙56、58的大小可使从电极22、24向盖件14、底座16和闭合件62发生的能量损失降至最少,并且环绕电极22、24和分隔环26周边的气隙56、58部分连接成为单独连续充气空间,详见图3B所示。
当盖件14位于其降低位置时,可在盖件14和底座16周边之间看到导电部件64,其为金属制成,可使盖件14和底座16之间发生良好的电接触。所述盖件14、底座16、盖件表层60和闭合件62一同构成闭合导电壳体,该壳体用于对向位于外壳12内的电极22、24供应的电能进行限制。
传输线34穿过开口61,并以已知方式导电连接至下电极24处。传输线32进入盖件14中,并位于可动盖件表层60和上电极22之间,同时以已知方式导电连接至上电极22处。当两个电极22、24均连接至电源30,且所述电源30为交流电源时,电极22、24中的其中之一可相对于另一电极的相位偏离180度,从而使两个电极22、24均通电。可选择的,电极22、24中的其中一个电极可接地,另一电极可通电。
在本发明的某些实施例中,某种适宜的冷却液在气隙56、58中循环运动,从而对处理系统10,尤其是对电极22、24进行冷却。为达到这种效果,可在盖件14内安装安装件57(图2),从而形成一冷却部件,可向气隙56提供冷却源59(图2)。诸如空气这样的强制冷却流可通过安装件57从所述冷却源59引至气隙56处,从而通过气隙56、58,可围绕电极22、24而形成连续的冷却流。气隙58用于向处理系统10内的冷却流提供排气通路,使之排至外界。
电极22、24和分隔环26所限定的空间构成所述处理区40,并代表处理系统10中由真空泵36抽空后的除真空集流管38以外仅有的一个空间,因而所述空间代表所述等离子处理系统10的真空密封外壳。这就与现有等离子处理系统形成了鲜明对比,在现有等离子处理系统中,电极位于容量极大的真空室内部,该真空室环绕电极设置,且处理气体通电后在该真空室内受到激发,产生无约束等离子,但却无法供位于电极22、24之间的工件55使用。从而,系统10的有效真空容量比现有处理室的真空容量要小。这样设置后有诸多益处,包括提高等离子密度,减少将处理室抽空至某一适于激发等离子的气压的时间,极大的减少将处理室充气至大气压的时间,但并不仅限于此。与现有等离子处理系统相比,上述益处可以提高系统处理量,降低运行成本,并缩短完成目标等离子处理的所需时间。
所述电极22、24由诸如铝这类的导电材料制成。所述分隔环26由不导电材料制成,从而可以经受处理区40内的等离子环境,并且不会沾染已处理完毕的基片55。总体而言,构成分隔环26的材料应能充分抵御处理区40内等离子的侵蚀。所述分隔环26限定了一个由非导电材料形成的垂直侧壁,并且对电极22、24之间进行真空密封。
由于没有设置通常的真空室,从而便消除了、或者至少是极大的降低了外磁场的影响。更具体而言,本等离子处理系统10中的电极22、24并不像现有真空室那样周围环绕有接地金属侧壁。而是采用了非导电分隔环26,其可以有效的起到所述处理区40的垂直侧壁的作用。从而,这便降低或是消除了外磁场的影响,同时等位电场线均匀穿过基片55的整个表面,且不会在电极边部形成边缘现象,这就可以使等离子处理过程均匀的穿过基片55。
在某一实施例中,分隔环26由绿玻璃(即钙镁硅酸铁或钠铝硅酸铁)制成,但也可使用其它陶瓷材料,如铝土,浮法玻璃,硅或石英。在本发明的可选实施例中,构成分隔环26的绝缘材料可为下列聚合碳氟化合物中的任一种,包括聚四氟乙烯(PTFE),这是由杜邦以TEFLON商标销售的四氟乙烯聚合物;全氟乙丙稀(FEP),这是由杜邦以TEFLON FEP商标销售的四氟乙烯和六氟乙烯的共聚物;全氟烷氧基碳氟化合物树脂(PFA),这是由杜邦以TEFLON PFA商标销售的四氟乙烯醚的聚合物;或者是次乙基四氟乙烯(ETFE),这是由杜邦以TEFZEL商标销售的次乙基和四氟乙烯的聚合物。由于等离子蚀刻对陶瓷材料具有化学侵蚀作用,由此类材料制成的分隔环26可在等离子蚀刻方面得到应用。由于分隔环26是构成处理区40真空外壳的一部分,其应有足够强度以承受外力作用,所述外力是由真空处理区40和气隙56、58内大气压之间的气压差而造成的。
参看图3A和图5,所述下电极24包括两个横向分布的真空部分66、68,其分别与两个凸出部分70、72相重合,所述凸出部分70、72位于真空集流管38的相对悬臂74、76的端部。所述凸出部分70、72分别通过螺栓(未标出)固定至下电极24处,以分别对密封件78、80进行挤压,从而形成真空密封。所述悬臂74、76收敛于通向真空泵36的垂直锥形部件82处。插入件88部分进入所述凸出部分70的开口内,同时部分进入环绕部件70的安装板84内。类似的,插入件90部分进入所述凸出部分72的开口内,同时部分进入环绕部件72的安装板86内。定心环92、94也位于相应所述凸出部分70、72内。复式安装定位件96、98安装在底座16和下电极24之间,所述两个复式安装定位件均具有中央开口,其与所述真空部分66、68相重合。
所述两个相同的复式安装定位件96、98均由诸如热塑性弹性材料(TPE)这样的绝缘材料制成,用于将下电极24同外壳12的底座16相隔离。所述相同的插入件88、90均由诸如陶瓷此类具有相对较高介电常数的绝缘材料制成,其用于将下电极24同外壳12的底座16和真空集流管38的凸出部分相隔离。
所述插入件88、90,至少是定心环92、94,对位于下电极24和真空集流管38之间的结合处的其余空间进行填充。由于下电极24和外壳12的底座16之间需要绝缘,从而下电极24和真空集流管38分开放置。由于配置有所述插入件88、90和定心环92、94,从而可以防止等离子在真空集流管38和下电极24之间的其余未填充空间受到激发。所述插入件99、90可有效的起到带电例子过滤器的作用,从而限制等离子进入处理区70中。
参看图3A、图5和图7,下电极24内的真空集流管66、68均分别包括一列通路100,上述通路分别与形成在相应插入件88、90内的一列通路102和形成在对应定心环92、94内的一列通路104相对齐。通过所述的对齐通路100、102和104,真空泵36将等离子处理产生的副产物和非反应气体从处理区40排至真空集流管38内。所述通路100、102和104的排列和尺寸均进行了筛选,以使抽气率达到最大,同时防止等离子由于空心阴极效应而受到激发。从而,等离子被限制在处理区40内,这就可使输入激励电源得到充分利用。
参看图7A和7B,所述通路100、102和104并不限于图7所示实施例的样式和结构,而是认为可以包括其它任何样式和结构,只要可以在不激发等离子的情况下提供适宜的抽气率。一般而言,所述通路100、102和104分隔安置,且其安置方向垂直于废气气流的排出方向。参看图7A,在一特定可选实施例中,位于代表性插入件88a内的所述通路102和位于下电极24a的代表性真空端口66a内的通路100a共同形成了一套平行槽。定心环中的通路(未标出)与所述通路100a和102a相对齐。参看图7B,在本发明的某一特定可选实施例中,代表性插入件88b内的通路102b和位于下电极24b的代表性真空端口66b内的通路100b共同形成了一套同心曲槽。定心环(未标出)内的通路与所述通路100b和102b相对齐。
参看图4和图6,进气板106固定于上电极22的上水平表面。气108延伸穿过所述进气板106,并通过导管110与安装件112相连接所述安装件112进一步通过导出管113与处理气体源114相连接(图2)。所述导出管113和处理气体源114可包括质量流控制器和流体测量装置(未标出),其相互配合工作,用于调节进入处理区40内的所有独立处理气体的流速。所述进气板106朝向上电极22方向的水平表面106a包括:多个凹形径向流路116,所述流路与所述气口108相交后发散。在将进气板106固定于上电极22上时,多个冲孔或气孔118延伸穿过上电极22,在布置所述冲孔或气孔118时,应使每个气孔118与进气板内的每个径向流路116相重合。如弹性金属O型环这样的常用密封部件120可对进气板106和上电极22的邻近周边进行密封。
供应至所述气口108的处理气体通过径向流路116分散流至所述气孔118处。处理气体穿过气孔118后进入处理区40中,并穿过位于基片支座54上的基片55,所述气孔118位于下电极24上部,且彼此隔开一定距离。将塞子122塞入一个或多个气孔118中,便可有效的阻塞处理气体流,从而可为某一特定的处理工艺进行气体配置。在本发明的一实施例中,所述气孔118具有螺线,所述塞子122可为具有适当尺寸的定位螺丝。通过检查被处理基片55处理过程的均匀性,便可凭经验而决定如何对气体配置进行调节。进入处理区40内的处理气体流和真空泵36的抽气速率相互匹配,以维持处理区40内的总气压处于较低值,以便通过处理气体的分压而生成等离子。
本发明气体配置系统可使工艺均匀穿过基片55,并可允许对配气模式进行灵活调节。在本发明的可选实施例中,处理气体可利用另一不同类型的配气系统而供应至处理区40,例如配气环,喷气器,单气口等。
本发明设想所述电极22可设置为在处理区30内产生无离子或下游态等离子。在共有的目前待审的专利申请10/324,436中公布了电极22的适当结构,所述专利申请于3002年12月20日以James Scott Tyler等人的名义提交,其名称为“等离子处理系统”,该申请在此将其作为参考而引入。
这里所述诸如“垂直”、“水平”等词,仅是用举例来进行说明,并非是对发明进行限制。此处“水平”一词是指与电极22、24的正面相平行的平面,而并不是指方向。此处“垂直”一词是指与所定义水平相垂直的方向。诸如“上”、“下”、“在上部”、“在下部”、“一侧“(例如侧壁)、“高”、“低”、“高于”、“低于”和“在之下”等词均是相对于水平面而进行限定的。应该理解的是,其它参考物应在本发明的精神及范围之内,且本领域普通技术人员应理解,所限定的参考物只是相对,而非绝对。
参看图8和图9,其具有与图1-7相类似的参考标号,并与本发明的一可选实施例保持抑制,其中等离子处理系统10a具有第二处理平面,并相对垂直于第一处理平面。这便增加了系统10a对工件进行单个处理的能力,并与系统10(图1-7)相比较而言,增加了系统的吞吐量。所述第二平面在上电极22和下电极24之间插入了中间电极130,并增加了附加分隔部件或环件132,所述附加分隔部件与分隔环26本质相同。电极130和分隔环132由机架134进行支撑,并通过绝缘部件136(图8)使其与所述机架134绝缘。
当盖件14移动至升高位置后(图3A),与起重设备28(图1和图2)相类似的起重设备(未标出)将所述机架134相对于底座16垂直抬起或放下。从而当盖件14和机架134处于降低位置时(图8和图9),便可形成处理区域,其由第一部分40a和第二部分40b构成,所述第一部分40a由上电极22、分隔环26和中间电极130包围形成,所述第二部分40b由下电极24、中间电极130和分隔环132包围形成。
在本可选实施例中,所述机架134构成外壳12的一部分,并通过与气隙56、58连续相连的气隙133而与电极130和分隔环132相分离。当盖件14位于降低位置时,与导电部件64类似的导电部件138定位在机架134和盖件14的周边之间。此时导电部件64定位在机架134和底座16的周边之间。盖件14、底座16、外壳62和机架134共同限定了一个导电壳体,其起到屏蔽物的作用,用于限制供应至外壳12内电极22、24的电源。
所述处理区域内的两个部分40a和40b通过中间电极130周边上的一列通路135(图9)而彼此相通。处理区40b直接通过真空部分66、68进行抽空,处理区40a通过通路135进行泵气。与处理区40相类似(图3至图7),处理区40a和40b仅表示系统10中的真空区域,并具有与如上所述系统10相同的多种优势和效用。本发明并不限于两个处理平面,还可以连续方式引入附加平面。
由于受到止动环137所施加的垂直力的作用,与密封部件50相类似或相同的密封部件50a被压缩在分隔环132和中间电极130下部130a周边之间。与密封部件52相类似或相同的密封部件52a在分隔环26和中间电极130上部130b周边之间发生压缩。密封部件此时在分隔环132和下电极24之间发生压缩。
所述机架134安装在起重设备上(未标出),可以将包括电极130和分隔环132在内的组件相对于底座14抬起。当所述外壳12上的盖件14相对于电极130抬高后,包括电极130和分隔环132在内的组件可相对于底座16发生移动,以便于将基片支架138安装于电极130上。所述基片支架140与基片支架54相同,可支撑一个或多个基片55,或支撑一个或多个承载有一个或多个基片55的运载器,所述基片支架位于处理区40a内,并适于等离子处理。相似地,在处理区40b内适于进行等离子处理的位置,基片支架54此时支撑一个或多个基片55,或者支撑一个或多个分别承载一个或多个基片55的承载器。
中间电极130包括下部130a和承载基片支架140的上部130,所述下部130a具有与上电极22相类似的结构,并具有气体分配系统,可平稳、均匀的将处理气体分配至处理区40b内。所述中间电极130的下部130a包括进气板144,其与进气板106相同或相似,且具有通过导管146而连接至安装件148上的气口144,所述气口又进一步连接至处理气体源114(图2)上。所述进气板142朝向中间电极130下部的平面包括多个凹形径向流路150,其与流路116类似或相同,且在气口114处相交并从此处发散。多个冲孔或气孔152延伸穿过所述下部130a,所述气孔152与气孔118相似或相同,且使每个气孔152均每个与进气板142内的径向流路150相对齐。如弹性O型环这样的常用密封部件154可对所述进气板142和中间电极130的下部130a的外周进行密封。在本发明的此实施例中,气孔118此时可向处理区40a内的工件55正对面均匀配送处理气体,相似的,气孔152可向处理区40b内的工件55正对面均匀配送处理气体。
传输线156以已知方式电连接至中间电极130。通常而言,全部三个电极22、24和130均连接至电源30,当电源30为交流电源时,中间电极130相对于其它电极22、24的相位偏离180度。
尽管通过对各种不同实施例的细节进行的详细描述,从而对本发明进行了详细说明,但申请人并非意于将所附权利要求以任何方式限制至上述细节的范围内。本领域技术人员可很容易看出本发明的其它益处及改正。因此,广义而言,本发明并不限于所述特定细节、所选代表性的装置和方法,以及所描述的说明性实施例。相应的,在不偏离申请人总的发明构思的宗旨下,可与所述发明有所区别。本发明的范围仅由所附权利要求进行限定。

Claims (17)

1.一种利用等离子对基片进行处理的设备,包括:
第一电极;
第二电极,其相对于所述第一电极间隔开放置;
分隔环,用于在所述第一电极和第二电极之间形成真空密封,并在所述第一电极和第二电极之间形成可抽空的处理区,所述第一电极用于承载所述处理区内进行等离子处理的基片,所述分隔环将所述第一电极和第二电极电绝缘;
处理气体口,用于将处理气体引入所述处理区内;和
真空口,用于将所述处理区抽空至一定压力,在该压力下适于从在所述处理区内的处理气体中生成等离子。
2.如权利要求1中所述设备,还包括:
连接至所述真空口的真空集流管,所述真空集流管与所述第一电极和第一电极电绝缘。
3.如权利要求2所述设备,其中所述真空集流管包括邻近所述真空口的封闭式容器,且还包括:
由电绝缘材料制成的插入件,其位于所述封闭式容器内,所述插入件包括第一多个通路,所述第一多个通路将真空集流管与真空口相连接。
4.如权利要求3所述设备,其中所述真空口由第二多个通路限定,所述第二多个通路延伸穿过所述第一电极,并与所述第一多个通路相对齐。
5.如权利要求1所述设备,其还包括:
与所述真空口相连接的真空泵,用于将所述处理区抽空至一定压力,以适于从所述处理区内的处理气体中生成等离子。
6.如权利要求1所述设备,还包括:
与所述处理气口相连接的处理气体源,用于将处理气体引入所述处理区内。
7.如权利要求1所述设备,其中所述第二电极包括多个开口,这些开口的排列方式可以使处理气体有效地从所述处理气体口进入所述处理区中。
8.如权利要求1所述的设备,还包括基片支架,其位于所述处理区内,具有可承载第一电极上的基片的结构。
9.如权利要求8所述的设备,其中所述基片支架通电连接至所述第一电极。
10.如权利要求1所述的设备,还包括:
导电外壳,其环绕所述分隔环、所述第一电极和所述第二电极安置,所述第一电极和第二电极通过气隙而分别与所述导电外壳分隔。
11.如权利要求10所述的设备,其中所述外壳包括底座和盖件,所述盖件可相对于所述盖件在打开位置和关闭位置移动,以进入所述处理区,所述盖件承载所述第一电极,以相对于所述底座而移动。
12.如权利要求10所述的设备,还包括位于所述盖件内的冷却剂口,用于向所述气隙提供冷却液流,以冷却所述第一电极和第二电极。
13.如权利要求1所述的设备,其中所述第一电极包括所述真空口,所述第二电极包括所述处理气口。
14.如权利要求13所述的设备,其中所述第二电极包括多个与所述处理气口相连的气体开口,所述多个气体开口位于所述第二电极内,用于经过基片的相对表面配送处理气体。
15.一种用于等离子处理多个基片的设备,包括:
第一电极;
第二电极,其相对于所述第一电极间隔放置;
第三电极,其位于所述第一电极和第二电极之间;
第一分隔环,用于在所述第一电极和第三电极之间形成真空密封,并在所述第一电极和第三电极之间限定第一可抽真空处理区,所述第一电极用于支撑位于所述第一处理区内的进行等离子处理的多个基片中的一个,同时所述第一分隔环将所述第一电极和第二电极电绝缘;
第二分隔环,用于在所述第二电极和第三电极之间形成真空密封,并在所述第二电极和第三电极之间限定第二可抽真空处理区,所述第三电极用于支撑位于所述第二处理区内的用于等离子处理的多个基片中的一个,同时所述第二分隔环将所述第二电极和第三电极电绝缘;
至少一个处理气口,用于将处理气体引入至所述第一处理区和第二处理区内;和
真空口,用于将所述处理区抽空至一定压力,在该压力下适于从位于所述第一处理区和第二处理区内的处理气体中生成等离子。
16.如权利要求15所述的设备,其中所述真空口限定于所述第二电极内。
17.如权利要求16所述的设备,其中所述第一电极包括第一处理气口,用于将处理气体引入所述第一处理区内,所述第三电极包括第二处理气口,用于将处理气体引入所述第二处理区内。
CN200510083627.9A 2004-07-13 2005-07-13 超高速均匀等离子处理系统 Active CN1728916B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/710,457 2004-07-13
US10/710,457 US7845309B2 (en) 2004-07-13 2004-07-13 Ultra high speed uniform plasma processing system

Publications (2)

Publication Number Publication Date
CN1728916A true CN1728916A (zh) 2006-02-01
CN1728916B CN1728916B (zh) 2011-06-01

Family

ID=35115691

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200510083627.9A Active CN1728916B (zh) 2004-07-13 2005-07-13 超高速均匀等离子处理系统

Country Status (6)

Country Link
US (1) US7845309B2 (zh)
EP (1) EP1617457B1 (zh)
JP (1) JP5054901B2 (zh)
CN (1) CN1728916B (zh)
SG (2) SG137851A1 (zh)
TW (1) TWI392402B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103607836A (zh) * 2013-11-27 2014-02-26 苏州市奥普斯等离子体科技有限公司 一种新型等离子体处理装置

Families Citing this family (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US8211230B2 (en) 2005-01-18 2012-07-03 Asm America, Inc. Reaction system for growing a thin film
KR101410706B1 (ko) * 2006-08-22 2014-06-25 노드슨 코포레이션 처리 시스템에서 피가공물을 취급하기 위한 장치 및 방법
TWM359810U (en) * 2007-02-28 2009-06-21 Applied Materials Inc Power source device and plasma apparatus
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US20110146577A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Showerhead with insulated corner regions
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN103165368B (zh) * 2011-12-16 2016-02-03 中微半导体设备(上海)有限公司 一种温度可调的等离子体约束装置
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
KR101505536B1 (ko) * 2012-05-14 2015-03-25 피에스케이 주식회사 배플 및 이를 가지는 기판 처리 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9385017B2 (en) 2012-08-06 2016-07-05 Nordson Corporation Apparatus and methods for handling workpieces of different sizes
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
MX2015003569A (es) * 2012-09-19 2016-06-21 Apjet Inc Aparato y metodo para procesar plasma bajo presion atmosferica.
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10777387B2 (en) * 2012-09-28 2020-09-15 Semes Co., Ltd. Apparatus for treating substrate
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9852905B2 (en) * 2014-01-16 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for uniform gas flow in a deposition chamber
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN112673450B (zh) * 2018-07-30 2024-06-11 诺信公司 用于利用等离子体的工件加工的系统
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4367114A (en) * 1981-05-06 1983-01-04 The Perkin-Elmer Corporation High speed plasma etching system
US4381965A (en) * 1982-01-06 1983-05-03 Drytek, Inc. Multi-planar electrode plasma etching
JPS6295828A (ja) 1985-10-23 1987-05-02 Hitachi Ltd プラズマ処理装置
JPS62299031A (ja) 1986-06-18 1987-12-26 Nec Corp 平行平板型エツチング装置の電極構造
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPH0770526B2 (ja) * 1987-09-14 1995-07-31 富士通株式会社 減圧処理装置
JP2790878B2 (ja) * 1988-11-16 1998-08-27 治久 木下 ドライプロセス装置
JPH02294029A (ja) * 1989-05-08 1990-12-05 Nec Kyushu Ltd ドライエッチング装置
US5376211A (en) * 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
JP3239168B2 (ja) * 1992-01-09 2001-12-17 アネルバ株式会社 プラズマ処理装置
JPH05315295A (ja) * 1992-05-08 1993-11-26 Hitachi Sci Syst:Kk プラズマエッチング装置
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
JPH08260158A (ja) * 1995-01-27 1996-10-08 Kokusai Electric Co Ltd 基板処理装置
JP3135031B2 (ja) * 1995-03-15 2001-02-13 キヤノン株式会社 堆積膜形成装置
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP3668535B2 (ja) * 1995-08-14 2005-07-06 株式会社アルバック エッチング装置
WO1997036462A1 (fr) * 1996-03-28 1997-10-02 Sumitomo Metal Industries, Ltd. Procede et dispositif de traitement plasmique
US6051100A (en) * 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
US6251216B1 (en) * 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
JP3314711B2 (ja) * 1998-04-03 2002-08-12 株式会社富士電機総合研究所 薄膜製造装置
JP2000030898A (ja) * 1998-07-09 2000-01-28 Kokusai Electric Co Ltd プラズマ処理装置
JP3035735B2 (ja) * 1998-09-07 2000-04-24 国際電気株式会社 基板処理装置および基板処理方法
CN2360422Y (zh) * 1998-10-06 2000-01-26 安德祥 用于气相沉积的自动化镀膜装置
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP3449275B2 (ja) * 1999-01-25 2003-09-22 松下電器産業株式会社 真空処理装置および真空処理方法
TW464919B (en) * 1999-03-30 2001-11-21 Tokyo Electron Ltd Plasma processing apparatus, maintenance method thereof and setting method thereof
JP2002064064A (ja) * 2000-08-21 2002-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
JP2002110646A (ja) * 2000-09-29 2002-04-12 Tokyo Electron Ltd プラズマ処理装置
JP2002126675A (ja) * 2000-10-30 2002-05-08 Yamato Scient Co Ltd プラズマ洗浄装置
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
TW577122B (en) * 2003-04-08 2004-02-21 Sp Probe Inc Plasma etching method and device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103607836A (zh) * 2013-11-27 2014-02-26 苏州市奥普斯等离子体科技有限公司 一种新型等离子体处理装置

Also Published As

Publication number Publication date
JP5054901B2 (ja) 2012-10-24
JP2006032344A (ja) 2006-02-02
TWI392402B (zh) 2013-04-01
SG119365A1 (en) 2006-02-28
US20060011299A1 (en) 2006-01-19
EP1617457B1 (en) 2011-12-28
EP1617457A3 (en) 2006-10-18
EP1617457A2 (en) 2006-01-18
SG137851A1 (en) 2007-12-28
TW200616498A (en) 2006-05-16
CN1728916B (zh) 2011-06-01
US7845309B2 (en) 2010-12-07

Similar Documents

Publication Publication Date Title
CN1728916B (zh) 超高速均匀等离子处理系统
KR100270398B1 (ko) 플라즈마 처리장치
KR101791991B1 (ko) 플라즈마 처리 장치
CN1311538C (zh) 静电吸附装置、等离子体处理装置及等离子体处理方法
CN1812681A (zh) 限界等离子体和增强流动导通性的方法和装置
CN101506066B (zh) 用于在处理系统中处理工件的设备和方法
KR102002719B1 (ko) 흡착 장치, 진공 처리 장치
US20080296261A1 (en) Apparatus and methods for improving treatment uniformity in a plasma process
CN101290869A (zh) 等离子体处理装置和高频电流的短路电路
KR20180060935A (ko) 진공 처리 장치
KR20070094475A (ko) 플라즈마 처리 장치용 전극 어셈블리 및 플라즈마 처리장치
WO2008143405A1 (en) Plasma generating apparatus
JP3311812B2 (ja) 静電チャック
CN101802964A (zh) 离子植入机中终端隔离的技术
CN101042991A (zh) 等离子体处理装置
KR20100080512A (ko) 유전 핀들을 갖는 절연된 도전체들을 구비하는 이온 주입기의 단자 구조체들
CN101267708B (zh) 等离子处理装置及等离子处理方法
CN105244250A (zh) 蚀刻装置及蚀刻方法
TW201523729A (zh) 基板處理設備及基板處理方法
JPH03138382A (ja) 反応性イオンエッチング装置
CN111863578A (zh) 一种等离子体处理设备
JPH03194842A (ja) 半導体ウエーハにおけるプラズマプロセス装置およびその作動方法
JPS60187024A (ja) プラズマ処理装置
KR20170073067A (ko) 유전체 장벽 방전용 전극 조립체 및 이를 이용한 플라즈마 처리장치
KR101237388B1 (ko) 상부전극 접속차단 구조를 갖는 기판처리장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant