KR100270398B1 - 플라즈마 처리장치 - Google Patents

플라즈마 처리장치 Download PDF

Info

Publication number
KR100270398B1
KR100270398B1 KR1019940002805A KR19940002805A KR100270398B1 KR 100270398 B1 KR100270398 B1 KR 100270398B1 KR 1019940002805 A KR1019940002805 A KR 1019940002805A KR 19940002805 A KR19940002805 A KR 19940002805A KR 100270398 B1 KR100270398 B1 KR 100270398B1
Authority
KR
South Korea
Prior art keywords
plasma
electrode
chamber
processing apparatus
plasma processing
Prior art date
Application number
KR1019940002805A
Other languages
English (en)
Other versions
KR940020497A (ko
Inventor
요이치 데구치
사토루 가와카미
시로 고야마
겐지 이시카와
Original Assignee
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP5049995A external-priority patent/JPH06244151A/ja
Priority claimed from JP4999793A external-priority patent/JPH06244147A/ja
Priority claimed from JP13915793A external-priority patent/JP3264391B2/ja
Application filed by 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 히가시 데쓰로
Publication of KR940020497A publication Critical patent/KR940020497A/ko
Application granted granted Critical
Publication of KR100270398B1 publication Critical patent/KR100270398B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/004Charge control of objects or beams
    • H01J2237/0041Neutralising arrangements
    • H01J2237/0044Neutralising arrangements of objects being observed or treated

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)

Abstract

플라즈마 생성용 대향전극의 한 쪽 서셉터에, 정전척 및 피처리체의 상부 돌출용 핀이 설치되어 있다. 상부 돌출용 핀과 서셉터가 전기적으로 접속되어 있다. 서셉터에의 RF전력 공급회로와 병렬로 서셉터에 대전할 가능성이 있는 전하를 방전하는 접지회로가 설치되어 있다. 따라서, 서셉터에의 전력 공급회로에 잔류하는 전하를 방전할 수 있음과 동시에, 상부 돌출용 핀과 서셉터 사이의 이상방전을 방지한다.

Description

플라즈마 처리장치
제1도는 본 발명에 의한 플라즈마 처리장치의 실시예 1의 요부를 나타내는 단면도.
제2(a)도는 제1도에 나타낸 요부를 모식적으로 나타낸 회로도.
제2(b)도는 제2(a)도의 회로도의 변형 회로도.
제3도는 제1도에 나타낸 요부의 변형예를 모식적으로 나타낸 회로도.
제4도는 본 발명에 의한 플라즈마 처리장치의 실시예 2의 개략단면도.
제5도는 제4도의 피처리체를 정전척에 끼우거나 빼내는 작용을 나타내는 개략단면도.
제6도는 제4도의 피처리체 및 정전척에 잔류하는 잔류전하의 제전작용을 나타내는 부분단면도.
제7도는 본 발명에 의한 플라즈마 처리장치의 실시예 3의 요부구조를 나타내는 단면도.
제8도는 제7도의 요부의 배치구성을 나타내는 평면도.
제9도는 제7도의 요부에 사용되는 프로우브와 플라즈마와의 위치관계를 설명하기 위한 모식도.
제10도는 제7도의 요부에 사용되는 RF전력공급회로의 요부구성을 설명하기 위한 회로도.
제11도는 TCP형 플라즈마 처리장치를 나타내는 개략도.
제12도는 ICP형 플라즈마 처리장치를 나타내는 개략도.
제13(a)도는 대향전극을 가지는 플라즈마 처리장치를 나타내는 개략도.
제13(b)도는 대향전극의 개략도.
제14(a)도는 처리가스 공급로를 가지는 상부전극을 나타내는 개략사시도.
제14(b)도는 처리가스 공급로를 가지는 하부전극의 측단면도.
* 도면의 주요부분에 대한 부호의 설명
10,310 : 플라즈마 처리장치 20,201,320 : 챔버
20A : 상부챔버 20B : 하부챔버
22 : 단열재 30,32,218,330,332 : 서셉터
32A,332A : 냉각쟈케트 50,350 : 자석
40,210,340 : 정전척 40A : 구멍
42,44 : 폴리이미드 46 : 도전성 시트
48 : 메카니컬 척 50,205,360 : 반도체 웨이퍼
60 : 포커스 링 70,228,370 : 풋셔 핀
70A : 하단 72 : 승강 플레이트
70B,152A : 전로 78 : 모터 구동부
76 : 펄스모터 80,221,400,620 : RF전원(고주파 전원)
80A : RF전력공급회로 81 : 콘덴서
82,92,100A,216 : 스위치 84,94 : 전원전로
85,240 : 임피던스 정합회로 90 : 직류고압전원
100 :직류회로 120,170 : 저항
120A : 코일 150,202,630 : 상부전극
152,203,330 : 하부전극 160 : 블로킹 콘덴서
180 : 접지회로 190 : 영구자석
204 : 배기계 206 : 반입출계
207 : 공급관 209 : 배선
208 : 가스 방출구 211 : 정전척 시트
212 : 전해박동 214 : 전기공급봉
215 : 고압전원 217 : 제어수단
222 : 지지대 220 : 냉매류
223 : 냉매도입관 224 : 냉매배출관
225,641 : 공급로 226 : 관통구멍
227 : 임피던스 228 : 지지핀
229 : 도전성 접착제 230 : 도전성 고무
231 : 세라믹스 233 : 벨로우즈
234 : 에어 실린더 235 : 유지면
236 : 이탈수단 237 : 배기가스관
238 : 진공배기장치 239 : 반입출구
240 : 게이트 밸브 241 : 로드로크실
242,391 : 아암 229 : 도전성 접착제
242 : 마이너스의 전하 243 : 플러스의 전하
336 : 가스 배출구 392 : 로드로크 챔버
394 : 언로드 챔버 320 : 프로세스 챔버
360 : 피처리체 380 : 프로우브
420 : 매칭회로 440 : 전력공급 분배수단
460 : 입력단자 480 : 트랜스
480A : 1차측 코일 480B : 2차측 코일
480B0,480B10 : 양단 491 : 모터
C1,C2,636 : 콘덴서 540 : 파워 스프린터
540,540A : 가동단자 590 : 스텝핑 모터
560 : 제어부 580 : 구동부
592 : 구동부 600 : TCP형 코일
642 : 직경 P : 플라즈마
D : 플라즈마 시이스
본 발명은, 반도체 웨이퍼 등의 피처리체를 정도가 좋게 플라즈마 처리할 수가 있는 플라즈마 처리장치에 관한 것이다.
잘 알려진 바와 같이, 플라즈마 에칭을 하는 플라즈마 처리장치를 사용한 반도체 제조 소자 프로세스에서는, 그 플라즈마가 실행되는 반도체 웨이퍼 등의 피처리체를 소정의 위치에 유지시켜 놓을 필요가 있다. 예를들면, 진공분위기 하에서 플라즈마 에칭처리가 이루어지는 평행평판형의 플라즈마 처리장치의 경우, 피처리체는 대향하는 전극의 한 쪽에 위치하는 서셉터 상에서 정전척에 의하여 고정 된다.
이 정전척은, 절연층을 통하여 대향배치된 피처리체와 전극 사이에 전압을 부여함으로써, 피처리체와 전극에 정·부 전하를 발생시키고, 이 사이에 운동하는 쿨롱력에 의하여 피처리체를 흡착유지하는 것이다.
이와 같은 정전척의 구조로서는, 예를들면 금속제의 척본체와 피처리체 사이에 절연성의 정전흡착 시트를 배치한 것이 있다. 정전흡착 시트는, 2 장의 폴리이미드 등의 절연성 재료 사이에 동등의 도전성 시트를 전극부로서 끼워서 구성 되어 있다.
그런데, 이와 같은 정전척에서는 플라즈마 처리가 종료하여 플라즈마 생성을 끊은 경우에는, 정전척으로부터의 전하이동이 없게 되며, 소위 정전척 측이 플로우트 전극을 구성하는 것으로 된다. 따라서 플라즈마를 통한 대향전극에의 전하 이동이 중단되어 버리고, 정전척 측이 잔류전하에 의하여 대전한다. 이와 같은 정전척측 대전에 의하여 피처리체도 유기하여 잔류전하가 생성된다.
피처리체를 꺼내는 경우에는, 꺼내는 것을 용이하게 하기 위하여 이와 같은 피처리체의 전하를 제거할 필요가 있다. 이 때문에 종래에는 피처리체를 정전척으로부터 위로 돌출하여 떨어뜨리기 위한 풋셔 핀을 설치함과 동시에, 풋션 핀을 도전성 부재로 구성하고, 이 풋셔 핀을 스위치를 설치한 접지회로에 접속하고 있다. 이와 같은 구조에 의하면, 피처리체를 위로 돌출할 때에 스위치를 투입하는 것으로, 피처리체의 전하를 제전할 수 있다.
그러나, 상술한 바와 같은 풋셔 핀을 사용하여 피처리체의 전하를 제전하는 경우에는 다음과 같은 문제가 있었다. 즉 풋셔 핀에 의하여 피처리체의 제전을 하는 경우에는 스위치의 온/오프 전환이 이루어지는 때, 방전에 의한 노이즈가 발생하기 쉽다. 결국 오프로 바꾼 경우에는 풋셔 핀이 전기적으로 플로우트 상태에 있기 때문에, 제전하고 있던 전하밀도가 높으면 방전하는 것으로 된다.
더구나, 이와 같이 풋셔 핀을 접속한 접지회로를 일부러 설치하면, 구조가 복잡하게 된다고 하는 문제가 있다.
그래서, 상기 스위치를 없애고, 예를들면 저항 또는 코일을 직접 접지하는 회로를 구성하는 것도 생각할 수 있다.
그러나 이와 같은 구성에 있어서도 노이즈를 없앨 수 없는 것이 현실이었다. 이 이유에 대하여 본건 발명자가 검토한 바, 풋셔 핀 서셉터와의 사이에 발생하는 전위차가 원인으로 되어 노이즈를 유기하는 방전이 일어나는 것을 발견하였다.
결국, 서셉터에 RF전력을 공급하기 위하여 접속되어 있는 회로는, 예를들면 전원 측에의 직류의 흐름을 저지하기 위한 콘덴서가 설치되어 있는 경우가 있다. 이 경우에는 콘덴서에 의하여 , 또는 공급회로 자체의 스토레이지 커패시턴스에 의하여 직류적으로는 절연 상태에 있고, RF전력의 공급이 정지된 경우에는, 콘덴서 또는 회로중에 서셉터를 대전시키기에 충분한 전하가 잔류하고 있을 수가 있다. 따라서 풋셔 핀이 접지되어 있는 경우에는, 풋셔 핀측과 서셉터 사이에 전위차가 생기고, 이것에 의하여 노이즈의 원인으로 되는 이상 방전이 발생하기 쉽게 된다.
또, 서셉터 측에 잔류전하가 발생하고 있으면, 정전척에의 전원을 오프상태로 하여도 여전히 정전유도에 의하여 피처리체가 서셉터측으로 흡인되어 있는 것으로 된다. 따라서 피처리체를 박리하는 것이 어려운 것에 의하여 취출이 어렵게 된다거나, 또는 무리하게 떨어 내고자 하면 피처리체를 파손하는 등의 사고를 초래하였다.
따라서 본 발명의 목적은, 상기 종래의 플라즈마 처리장치에 있어서의 문제를 감안하여, 간단한 구성에 의하여 피처리체의 위로 돌출하는 것에 사용되는 부재와 재치대 사이의 이상 방전의 발생이나 취출 불능으로 되는 것을 방지할 수 있는 구조를 갖춘 플라즈마 처리장치를 제공함에 있다.
본 발명의 제1특징은, 챔버와, 챔버 내에 플라즈마를 생성시키는 플라즈마 생성수단과, 챔버 내에 설치되고, 피처리체를 재치하는 피처리체측 전극과, 상기 피처리체측 전극을 관통하여 뻗어 나고, 처리가 종료한 피처리체와 접촉하여 피처리체를 피처리체측 전극으로부터 붙거나 떨어지는 도전성 접촉 및 분리수단을 구비하며, 이 접촉 및 분리 수단을 상기 피처리체측 전극에 전기적으로 접속하며, 피처리체측 전극에 접지회로를 접속한 것을 특징으로 하는 플라즈마 처리장치이다.
본 발명의 제2특징은, 챔버와, 챔버 내에 플라즈마를 생성시키는 플라즈마 생성수단과, 챔버 내에 설치되고 피처리체를 재치하는 피처리체측 전극과, 이 피처리체측 전극을 관통하여 뻗어 나고, 처리가 종료한 피처리체와 접촉하여 이 피처리체를 피처리체측 전극으로부터 붙거나 떨어지는 핀을 구비하며, 이 핀의 선단에 피처리체보다 작은 경도의 도전성 고무를 설치한 것을 특징으로 하는 플라즈마 처리장치이다.
본 발명의 제3특징은, 챔버와, 챔버 내에 플라즈마를 생성시키는 플라즈마 생성수단과, 챔버 내에 설치되고, 피처리체를 재치하는 피처리체측 전극과, 플라즈마 중에 진퇴가 자유롭게 설치되고, 플라즈마 중을 주사하여 플라즈마 파라메터를 측정하는 프로우브를 구비한 것을 특징으로 하는 플라즈마 처리장치이다.
제1특징에 의하면, 피처리체측 전극과 접촉 및 분리 수단이 전기적으로 접속되어 있다. 따라서 접촉 및 분리 수단과 피처리체측 전극을 동전위로 설정할 수 있기 때문에, 이상 방전의 원인인 전위차를 없앨 수 있다. 더구나, 피처리체측 전극에는, 접지회로가 접속되어 있기 때문에, 피처리체측 전극상에 잔류할 가능성이 있는 전하만을 접지로 유도할 수 있다. 따라서, 접촉 및 분리 수단과 피처리체측 전극과는 전위가 같게 되어 잔류전하가 없는 상태가 설정되는 것으로 된다.
제2특징에 의하면, 피처리체에 대전할 잔류전하를 피처리체의 이면에 면접촉하는 도전성의 고무에 의하여 흘릴 수가 있다. 이 때문에, 피처리체와 도전성 고무와 접촉할 면적이 크게 되고, 이에 따라 피처리체와 도전성 고무와의 접촉저항이 내려가고, 피처리체에 대전하는 잔류전하가 접지된 도전성 핀을 통하여 보다 많게 흐른다.
제3특징에 의하면 플라즈마 중에 진퇴가 자유롭게 설치되고, 플라즈마 중을 주사하는 프로우브에 의하여, 플라즈마 내의 플라즈마 패러메터를 측정할 수가 있다.
[실시예]
[실시예 1]
이하, 제1도 내지 제3도를 참조하여 본 발명의 실시예 1을 설명한다.
제1도는, 본 발명의 실시예에 의한 플라즈마 처리장치의 요부구성을 나타내는 단면도이고, 이 경우의 플라즈마 처리장치는, 대향전극의 한 쪽에 RF전력을 공급하는 RIE 방식의 것이다.
플라즈마 처리장치(10)는, 상부전극을 이루는 챔버(20)와, 하부전극을 이루는 제1서셉터(30) 및 제2서셉터(32)와, 정전척(40)을 가지고 있다.
챔버(20)는, 하부를 개방한 통형상의 상부챔버(20A)와, 이 챔버(20A)의 하부에 고정되어 있는 바닥이 있는 통형상의 하부챔버(20B)로 구성되며, 내부가 진공흡인이 가능하며, 또 에칭가스가 도입되는 공간이 형성되어 있다. 또, 하부챔버(20B)에는 내부에 세라믹스제의 바닥이 있는 통형 상으로 구성하는 단열재(22)가 채워져 있다.
그리고 이 단열재(22)의 내부에는, 제1서셉터(37), 제2서셉터(32)가 배치되어 있다.
제1서셉터(30), 제2서셉터(32)는, 상하로 적층배치된 알류미늄 등의 도전체 또 열양도체로 형성되어 있다. 제2서셉터(32)에는, 그 외주에 냉각쟈케트(32A)가 형성되며, 이 냉각쟈케트(32A)를 순환하는 냉각매체에 의하여, 제1서셉터(30) 및 후술하는 정전척(40)을 통하여 피처리체인 반도체 웨이퍼(50)를 -50℃∼-100℃ 정도로 냉각하도록 되어 있다.
한편, 제1서셉터(30)의 상면 표면에는 정전척(40)이 재치고정되어 있다. 이 정전척(40)은, 제1서셉터(30)의 상면에 배치되어 있는 포커스 링(60)에 형성된 구멍내에 배치되어 있다. 그리고, 정전척(40)은, 상하 2장의 절연층으로서의 폴리이미드(42),(44) 사이에, 예를들면 동 등의 도전성 시트(46)를 끼워서 배치하는 것에 의하여 구성되어 있다.
또, 정전척(40)에 대신하여 기계적으로 반도체 웨이퍼(50)를 유지하는 메카니컬 척(48)을 설치하여도 좋다.
또, 정전척(40)에는 두께방향으로 관통하는 3 개의 구멍(40A)(제1도에서는 2개의 구멍만이 나타나 있음)이 형성되고, 이 구멍(40A)에는, 반도체 웨이퍼(50)를 재치하여 안내하기 위한 풋셔 핀(70)이 끼워져서 통하게 되어 있다.
풋셔 핀(70)은, 도전성 부재로 구성되고, 정전척(40) 및 제1서셉터(30), 제2서셉터(32)에 형성된 관통구멍에 삽입되어 승강이 자유롭게 설치되어 있다.
그리고, 상승한 경우에는, 그 선단이 정전척(40)의 윗 쪽으로 돌출하여 반도체 웨이퍼(50)를 재치한 상태로 위로 돌출할 수가 있다. 이 풋셔 핀(70)의 하단(70A)은, 승강 플레이트(72)에 고정되며, 승강 플레이트(72)의 변위에 따르고, 제1도에서 상하운동할 수가 있다. 본 실시예의 경우, 풋셔 핀(70)의 하단(70A)과 하부 챔버(20B)와의 사이에는, 벨로우즈(74)가 설치되어 있고, 풋셔 핀(70)의 승강통로가 대기에 대하여 기밀한 구조로 구성되어 있다.
또, 풋셔 핀(70)을 상하운동시키는 승강 플레이트(72)는, 예를들면 구동원을 이루는 펄스모터(76)와 연결되며, 펄스모터(76)의 회전력이, 예를들면 볼 나사 등의 전달부재를 통하여 직선구동력으로 변환된 후에, 상하운동할 수 있도록 되어 있다. 이 때문에, 펄스모터(76)에 대해서는, 모터 구동부(78)로부터의 펄스가 입력되고, 이 펄스에 따라서 회전구동될 수 있도록 되어 있다.
그리고, 풋셔 핀(70)은, 승강 플레이트(72)에 한 쪽단을 접속한 전로(70B)에 의하여 제2서셉터(32)에 전기적으로 접속되어 있다. 이 전로(70B)는, 후술하는 서셉터측과 풋셔 핀(70)을 동전위로 설정하기 위하여 설치되어 있다.
한편, 내부가 진공흡인됨과 동시에, 에칭가스 도입이 가능한 공간에 의하여 플라즈마 처리공간을 구성하고 있다. 이 때문에, 본 실시예에서는, 상부챔버(20A)를 접지하고, 제1서셉터(30), 제2서셉터(32)에 대해서는, RF전원(고주파 전원)(80)으로부터 스위치(82)를 통하여 RF전원을 공급하기 위한 전원전로(84)가 접속되는 것에 의하여 RIE방식의 플라즈마 에칭장치각 구성되어 있다. 또, 상부챔버(20A)가 접지됨으로써, 이것을 정전척용의 다른 쪽의 전극으로서 겸용하고, 플라즈마 생성시에는 이 플라즈마를 통하여 반도체 웨이퍼(50)를 접지할 수가 있다.
또, 정전척(40)에는, 도전성 시트(46)에 대하여 직류고압전원(90)으로부터 스위치(92)를 통하여, 예를들면 2KV 정도의 직류전압을 공급하기 위한 전원전로(94)가 접속되어 있다. 따라서, 도전성 시트(46)에 대하여고전압이 공급됨으로써, 모노폴형의 정전척이 구성되는 것으로 되며, 반도체 웨이퍼(50)를 정전척(40) 상에 흡착유지하는 것이 가능하게 된다.
또, 본 실시예에서는, 반도체 웨이퍼(50)와 대향하는 위치로, 또 상부챔버(20A)의 외측 왼쪽에 영구자석(190)이 회전가능하게 배치되며, 이 영구자석(190)을 회전시켜서 반도체 웨이퍼(50)의 근방에 그 면과 평행한 자장을 형성하는 것에 의하여 마그네트론 에칭장치를 구성하고 있다.
그런데, 풋셔 핀(70)과 전로(70B)를 통하여 전기적으로 접속되어 있는 제2서셉터(32)는, 상술한 바와 같이 RF전원(80)으로부터 RF전력을 공급받는 것으로 되어 있지만, 공급전로(84)에는, 서셉터(32)에 잔류할 가능성이 있는 전하를 접지로 연결하기 위한 접지용 직류회로(100)가 스위치(100A)를 통하여 접지되어 있다. 즉 제2(a)도는, 상기 접지회로(100)를 모식적으로 나타내고 있고, 제2(a)도에 있어서, 접지회로(100)는, 예를들면 RF전력을 공급회로(80A)에 설치되어 있는 임피던스 정합회로(85)중의 셀프 바이어스 측정회로의 저항(120)에 의하여 구성되어 있다. 이 저항(120)은, 임피던스 정합회로 중에서 콘덴서(81)의 방전회로로서, 저항(120)은, 콘덴서(81) 또는 RF전력공급회로(80A) 자체의 스토레이지 캐패시턴스에 의한 잔류전하만을 접지로 유도하며, 또, RF전원(80)으로부터의 RF전력을 접지로 유도하지 않도록 하기 위한 특성을 가지고 있다. 이 때문에, 본 실시예에서는, 예를들면, RF전력공급회로(80A)에서의 임피던스가 250KΩ인 경우, 저항(120)의 임피던스는 RF전력공급회로(80A)의 적어도 10배이상, 바람직하게는 10 내지 20배 정도의 수치로 한정되어 있다.
또, 제2(b)도에 나타낸 바와 같이, 접지회로(100)의 임피던스는, 저항(120)과 코일(120A)의 조합으로 얻을 수도 있고, 또 코일(120A) 만으로 얻을 수 있다.
본 실시예는 이상과 같은 구성이기 때문에, 풋셔 핀(70)과 제2서셉터(32)가 전로(70B)에 의하여 전기적으로 접속되어 있고, 또 임피던스 정합회로 중에, 접지와의 사이에 RF전력공급회로(80A) 중의 임피던스보다도 높은 임피던스가 설정된 접지회로(100)가 설치된다.
이와 같은 접지회로(100)는, 기존의 임피던스 정합회로에 배선되는 것으로 구성된다.
그리고, 플라즈마 처리중, RF전원(80)으로부터의 RF전력은, 접지회로(100)에 흐르는 일 없이 제2서셉터(32)에 흐르고, 대향전극인 상부챔버(20A)와의 사이에 플라즈마를 생성할 수 있다.
한편, 플라즈마 생성이 끊어진 경우에는, 임피던스 정합회로 중의 콘덴서 또는 RF전력공급회로(80A) 자체의 스토레이지 캐패시턴스에 의한 전하가 잔류하지만, 이 전하는 직류적으로 접지에 접속되어 있는 접지회로(100)를 통하여 방전된다. 따라서 제2서셉터(32)에 대전할 가능성이 있는 전하는 접지회로(100)를 통하여 접지로 방전되고, 더구나, 이 제2서셉터(32)와 동전위로 설정되어 있는 풋셔 핀(70)에서도 전하가 잔류할 수가 없기 때문에, 풋셔 핀(70)과 제2서셉터(32) 사이에 전위차가 없게 되어 이상 방전하는 일은 없다.
이상과 같이 본 실시예에 의하면, 풋셔 핀(70)과 대향전극인 제2서셉터(32)를 전기적으로 접속함과 동시에, 기존의 구성을 이용하여 접지회로(100)로 할 수가 있기 때문에, 특별한 구조를 필요로 하지 않고, 더구나 간단하게 잔류전하의 제전구조를 구성할 수가 있다. 또 제1도에서 플라즈마 생성이 끊어진 경우에 스위치(100A)를 닫음으로써 접지회로(100)로부터 방전하여도 좋다. 이 경우는 접지회로(100)중의 저항(120)을 설치하지 않아도 좋다.
본 실시예는, 대향전극의 한 쌍에 대하여 RF전력의 공급을 하는 RIE 방식의 플라즈마 처리장치를 대상으로 하였으나, 본 발명은, 이와 같은 구성에 한정되는 것은 아니고, 발명의 요지내에서 여러가지로 변형할 수도 있다. 예를들면, RF전력을 대향전극의 각각에 공급하는 방식의 플라즈마 처리장치를 대상으로 할 수도 있다.
제3도는, 이 경우를 모식적으로 나타낸 것이며, 상부전극(150) 및 하부전극(152)에는 각각 임피던스 정합회로(240)를 통하여 RF전원(80)으로부터의 RF전력이 공급되도록 되어 있다. 그리고, 하부전극(152)측에는 정전척(도시되지 않음)이 설치되어 반도체 웨이퍼를 흡착유지할 수 있음과 동시에, 풋셔 핀(70)이 전로(152A)를 통하여 전기적으로 접속되어 있다.
이와 같은 회로구성에서 상부 및 하부전극(150), (152)에의 공급전력중에 전원측에의 흐름을 저지하는 블로킹 콘덴서(160)가 설치되어 있을 때에는, 그 콘덴서의 전하를 방전하기 위한 접지회로(180)를 접지에 접속되어 있는 저항(170)에 의하여 구성한다.
따라서, 상부 및 하부전극(150),(152)을 대전하기에 충분한 전하가 콘덴서(160)에 잔류하고 있을 때에는, 고전하가 저항(170)을 통하여 접지로 흐르는 것으로 방전된다. 이에 따라, 상부 및 하부전극(150),(152)에 대전하고자 하는 전하가 방전되며, 더구나 하부전극(152)에 위치하고 있는 풋셔 핀(70)도 하부전극(152)과 동전위로 설정되어 있기 때문에, 이들 양자 사이에서의 이상방전이 방지 된다.
또, 저항을 사용하여 잔류전하의 제전구조로 하는 경우에는, 임피던스 정합회로(240) 중의 셀프 바이어스 셀프 바이어스 측정회로의 저항을 겸용하는 것에 대신하여, 예를들면 임피던스 설정조건에 따라서는, 단독으로 접속하여 구성할 수도 있다.
또, 상기 저항에 대신하여 임피던스 코일로 하여도 좋다.
또, 본 발명을, 모노폴형의 정전척을 사용하고, 피처리체와 정전척 사이에 He가스 등의 냉각기체를 공급하여 열전달을 하는 다른 장치 예를들면 플라즈마 CVD장치나 스퍼터 퇴적장치 등, 여러가지 플라즈마 처리장치에 적용할 수도있다.
이상과 같이 본 발명에 의하면, 상부 돌출용 부재와 이것을 배치하고 있는 대향전극을 전기적인 접속에 의하여 동전위로 설정한다. 그리고, 대향전극에의 RF전력의 공급전로에 대향전극에 대향할 가능성이 있는 전하를 방전하기 위한 접지회로를 기존의 구성을 이용하여 설치할 수 있다. 따라서 특별한 구성을 필요로 하는 일 없고, 대향전극에 대전할 가능성이 있는 전하를 제전할 수가 있다. 더구나 대향전극과 상부 돌출용 부재는 전기적인 접속에 의하여 동전위로 설정되어 있기 때문에, 전위차를 발생하지 않고, 전위차에 의한 이상전압을 완전하게 없앨 수 있게 된다.
[실시예 2]
이하, 제4도 내지 제6도를 참조하면서 본 발명의 실시예 2에 대하여 설명한다.
먼저, 제4도 및 제5도에 의거하여 플라즈마 에칭을 하는 플라즈마 처리장치의 구성을 설명한다.
이 플라즈마 처리장치는, 제4도에 나타낸 바와 같이, 기밀성을 가지는 챔버(201)와, 이 챔버(201) 내에 플라즈마를 발생하기 위한 상부전극(202) 및 하부전극(203)과, 상기 챔버(201) 내를 감압하기 위한 배기계(204)와, 챔버(201)내에 피처리체, 예를들면 반도체 웨이퍼(205)를 반입 또는 반출하기 위한 반입출계(206)로 구성되어 있다.
상기 챔버(201)는, 적어도 내벽면이 도전체, 예를들면 알루미늄으로 형성되며 이 내벽면의 표면은 산화알마이트처리되어 있으며 , 이 챔버(201) 내의 벽면에는 처리가스 및 플라즈마에 의한 반응생성물이 내벽면상에 부착하는 것을 방지하기 위한 도시하지 않은 가열수단, 예를들면 히터가 내장되며 가열온도, 예를들면 50℃∼100℃ 의 범위로 적정한 온도로 설정가능하게 구성되어 있다.
상부전극(202)은 챔버(201) 내의 상부에 배치되며, 이 상부전극(202)의 한쪽은 챔버(201)의 상부에 기밀하게 관통하며 챔버(201) 내에 처리가스, 예를들면 CHF3, CF4등의 처리가스 또는 불활성 가스 예를들면 N2가스 등을 공급하는 공급관(207)에 접속되어 있다. 또 상부전극(202)의 타단에는, 반도체 웨이퍼(205)의 방향으로 가스 공급관(207)로부터 공급된 처리가스를 방출하기 위한 가스 방출구(208)가 방사형상으로 여러 개가 뚫리고 또 이 상부전극(202)은, 배선(209)에 의하여 전기적으로 접지되어 있다.
하부전극(203)은, 상부전극(202)과 대향하는 위치에 배치되며, 서셉터(218)와 반도체 웨이퍼(205)를 정전기의 힘으로 흡착유지하는 정전척(210)을 갖고있다.
이 정전척(210)은 반도체 웨이퍼(205)를 흡착유지하는 유지 부로서의 정전척 시트(211)를 가지며 이 정전척 시트(211)는, 도전재질로 구성하는 전해박동(電解箔銅)(212)을 양측으로부터 절연막, 예를들면 폴리이미드 수지로 되는 폴리이미드 필름(213)에 의하여 폴리이미드계의 접착제를 사용하여 각각 접착하고, 샌드위치 구조로 구성되어 있다.
또, 전해박동(212)에는, 이 전해박동(212)에 고전압, 예를들면 200V∼3KV의 전압을 공급하기 위한 전기공급봉(214)이 접속되며, 이 전기공급봉(214)은, 상기 챔버(201)의 저면에 기밀하고 절연상태로 관통되며, 고압전원(215)에 변환수단, 예를들면 전자 스위치(216)을 통하여 접속되어 있다.
또, 이 전자 스위치(216)는 제어수단(217)의 제어신호에 의하여 ON 또는 OFF되도록 구성되어 있다.
또, 정전척 시트(211)의 하부에 설치된 서셉터(218)은, 도전재질, 예를들면 알루미늄으로 형성되며, 이 서셉터(218)는, 블로킹 콘덴서(220)를 통하여 고주파, 예를들면 13.56MHz, 40MHz 등의 고주파 전원(RF전원)(221)에 접속되어 있다.
또, 서셉터(218)의 하부에는 서셉터 지지대(222)가 설치되며, 이 서셉터 지지대(222)의 내부에는, 반도체 웨이퍼(205)의 온도를, 예를들면 -30℃∼-150℃로 하기 위하여 냉매, 예를들면 액체질소를 수용하는 냉매류(冷媒溜)(220)가 설치되어 있으며, 이 냉매류(220)에는 액체질소를 도입하기 위한 냉매도입관(223)과, 액체질소가 증발하고 기화한 N2가스를 배출하기 위한 냉매배출관(224)이 접속되어 있다.
또, 서셉터(218), 서셉터 지지대(222) 및 정전척 시트(211)에는, 냉매류(220)의 온도를 정전척 시트(211)를 통하여 반도체 웨이퍼(205)에 전달하는 전열매체, 예를들면 불활성 가스의 He 가스를 공급하기 위한 전열매체 공급로(225)가 뚫려 있다.
또, 제5도에 나타낸 바와 같이, 하부전극(203)에는, 이 하부전극(203)의 서셉터(218), 서셉터 지지대(222), 서셉터(218)의 상면에 설치된 정전척 시트(211), 및 챔버(201)의 저면을 관통하는 관통구멍(226)이 여러 개, 예를들면 3개가 뚫려 있으며, 이 관통구멍(226)의 내부에는, 도전성 부재로 형성되며, 임피던스(227)를 통하여 전기적으로 접지된 지지핀(풋셔 핀)(228)이 설치되어 있다.
또, 이 지지핀(228)의 반도체 웨이퍼(205)와 접촉하는 측에는, 도전성 접착제(229), 예를들면 은(銀)계의 도전필러를 함유하는 에폭시계의 접착제 등으로 접착된, 반도체 웨이퍼(205)보다 작은 경도의 도전성 고무(230), 예를들면 실리콘 도전성 고무, NBR도전성 고무 등이 설치되며, 반도체 웨이퍼(205)의 표면에 의하여 면접 촉으로 접촉하도록 구성되어 있다.
또, 지지핀(228)은, 절연무재, 예를들면 세라믹스(231)를 통하여 지지핀 지지대(232)에 각각 고정되며, 이 지지핀 지지대(232)의 주위 테두리부와 챔버(201)의 저면에는, 이 챔버(201)와 지지핀 지지대(232) 사이를 기밀하게 함과 동시에, 신축가능한 벨로우즈(233)가 설치되어 있다. 또, 지지핀 지지대(232)는, 이 지지핀 지지대(232)를 상하구동하는 것에 의하여 지지핀(228)을 상하구동하기 위한 상하구동수단, 예를들면 에어 실린더(234)에 접속되어 있다. 이 에어 실린더(234)의 상하구동에 의하여 지지핀 지지대(232)와 지지핀(228)이 상하이동하며, 반도체 웨이퍼(205)를 정전척 시트(211)의 유지면(235)에 재치하거나, 또는 유지면(235)으로부터 이탈시키는 이탈수단(236)이 구성되어 있다.
배기계(204)는, 제4도에 나타낸 바와 같이, 챔버(201) 내의 저부에, 이 챔버(201) 내를 감압하기 위한 가스 배출구(336)를 가지며, 이 가스 배출구(336)는, 배기가스관(237)을 통하여 진공배기장치(238), 예를들면 터보분자펌프에 접속되어 있다.
반입출계(206)는, 챔버(201)의 측벽에, 반도체 웨이퍼(205)를 반입 또는 반출하기 위한 반입출구(239)를 가지며, 이 반입출구(239)는 게이트 밸브(240)에 의하여 개폐하도록 구성되어 있다. 이 게이트 밸브(240)를 끼우고 챔버(201)와 대향하는 위치에는, 로드로크실(241)이 설치되며, 이 로드로크실(241) 내에는, 반도체 웨이퍼(205)를 챔버(201) 내에 반입 또는 반출하기 위한 반입출용 아암(242)이 배치되어 있다.
이상과 같이 구성된 플라즈마 처리장치에 있어서의 작용에 대하여 설명한다.
반입출용 아암(242)에 의하여 유지된 반도체 웨이퍼(205)를 챔버(201) 내의 정전척 시트(211)의 윗쪽으로 이동시킨다. 이어서 지지핀(228)에 반도체 웨이퍼(205)를 끌어서 건네고, 지지핀(228)을 에어 실린더(234)로 하강시키며, 정전척 시트(211)의 유지면(235)에 반도체 웨이퍼(205)를 재치한다.
이 정전척 시트(211)를 재치할 때에, 미리 스위치(216)를 닫고, 정전척 시트(211)의 전해박동(212)에 고전압을 공급하여 놓는다. 이에 따라, 반도체 웨이퍼(205)의 표면에는 제6도에 나타낸 바와 같이, 마이너스의 전하(242)가 대전되고, 정전척 시트(211)의 유지면(235)에는, 플러스의 전하(243)가 대전된다.
이 플러스의 전하(243) 및 마이너스의 전하(242)에 의하여 정전흡착력이 발생하며, 이 정전흡착력으로 반도체 웨이퍼(277)는 정전척 시트(211)의 유지면(235)에 흡착유지 된다.
이어서, 가스 방출구(208)로부터 챔버(201) 내로 처리가스가 도입되며, 챔버(201) 내의 압력이 설정치로 유지된다. 이어서 전열매체 공급로(225)로부터 반도체 웨이퍼(205)의 이면 전면에 He가스가 공급되며, 고주파 전원(221)으로부터 처리전력, 예를들면 500∼2KW가 인가되고, 상부전극(202)과 반도체 웨이퍼(205) 사이에 플라즈마가 발생한다. 이 플라즈마에 의하여 반도체 웨이퍼(205)가 에칭처리되며 또, 이 플라즈마의 발생에 따라, 반도체 웨이퍼(205)는, 의사(疑似) 접지되기 때문에, 반도체 웨이퍼(205)는 정전척 시트(211)의 유지면(235)에 강하게 흡착된다.
이어서, 반도체 웨이퍼(205)를 정전척 시트(211)의 유지면(235)으로부터 이탈하는 공정을 설명한다. 전열매체 공급로(225)로부터 반도체 쉐이퍼(205)의 이면 전면에 공급하여 있던 He가스를 정지하며, 정전척 시트(211)의 전해박동(212)에의 고전압 인가를 스위치(216)를 개방하는 것에 의하여 차단한다.
이어서 , 제6도에 나타낸 바와 같이, 지지핀(228)을 에어 실린더(234)로 상승시키고, 지지핀(228)에 설치된 도전성 고무(230)를 반도체 웨이퍼(205)의 이면에 면접 촉으로 접촉시킨다. 이 접촉에 의하여 반도체 웨이퍼(205)의 표면에 대전하는 마이너스의 잔류전하(242)는 도전성 접착제(229)를 통하여 전기적으로 접지된 지지핀(228)에 흐르고, 제전된다. 또, 정전척 시트(211)의 유지면(235)에 대전하는 플러스의 잔류전하(243)도 반도체 웨이퍼(205)를 통하여 전기적으로 접지된 지지핀(228)에 흐르고, 제전된다.
그리고, 제전 후, 또 지지핀(228)을 에어 실린더(234)로 상승시키고, 반도체 웨이퍼(205)를 정전척 시트(211)의 유지면(235)으로부터 이탈한다.
이상과 같이 구성된 본 실시예의 효과에 대하여 설명한다.
반도체 웨이퍼(205)에 대전한 잔류전하 및 정전척 시트(211)의 반도체 웨이퍼의 유지면(235)에 대전한 잔류전하를 반도체 웨이퍼의 이면에 면접 촉으로 접촉시키고, 보다 많은 잔류전하를 전기적으로 접지된 지지핀(228)에 흘리고, 제전할 수가 있다. 또 보다 많은 잔류전하를 제거하기 때문에 반도체 웨이퍼(205)를 정전척 시트(211)의 유지면(235)으로부터 상승시켜도 반도체 웨이퍼(205)의 이면이 잔류전하에 의하여 흡착하고 있지 않기 때문에, 반도체 웨이퍼(205) 자체가 휘는 일이 없이 보다 수평한 상태를 유지하면서 반도체 웨이퍼(205)를 정전척 시트(211)의 유지면(235)으로부터 이탈할 수가 있다.
또, 반도체 웨이퍼(205)의 이면에 반도체 웨이퍼(205) 보다 경도가 작은 도전성 고무로 접촉시키기 때문에, 반도체 웨이퍼(205)의 이면을 파손하는 일이없고, 도전성 고무로 반도체 웨이퍼(205)와의 밀착성을 증가함과 동시에, 실질적으로 접촉면적을 증가시키고, 반도체 웨이퍼(205)의 접촉저항을 감소시킴으로써 반도체 웨이퍼(205)에 대전한 잔류전하 및 정전척 시트(211)의 유지면(235)에 대전한 잔류전하를 보다 많게 제전할 수 있다.
또, 실시예에서는 지지핀에 도전성 고무를, 도전성 접착제로 접착하였으나 지지핀에 도전성 고무를 맞물리게 하여도 좋은 것은 물론이며, 또, 실시예에서는 플라즈마 에칭장치에 대하여 설명하였으나, 플라즈마 에칭장치에 한정되지않고 CVD, LCD 등의 플라즈마에 의하여 피흡착체를 처리하는 장치, 또 정전척으로 피흡착체를 흡착시키고 반송하는 반송장치 등에도 사용할 수 있다.
본 발명은, 정전척의 피흡착체 유지면 또는 피흡착체에 대전하는 잔류전하를 확실하게 제전할 수 있기 때문에, 피흡착체를 지지 핀으로 정전척의 피흡착체 유지면으로부터, 수평상태로 유지한 채 이탈시킬 수 있다. 이 때문에, 피흡착체의 휨에 의한 튕김을 발생하지 않고, 안전하게 피흡착체를 이탈시킬 수 있는 현저한 효과가 있다.
또, 본 실시예에서 설치된 도전성 고무를 가지는 핀을, 실시예 1의 풋셔 핀에 적용하여도 좋다.
[실시예 3]
이하, 제7도 내지 제14(b)도에서, 본 발명의 실시예 3을 설명한다.
제7도는, 본 발명을 플라즈마 처리장치의 하나인 마그네트론 플라즈마 처리장치에 적용한 경우의 요부구조를 나타내는 단면도이다. 이 마그네트론 플라즈마 처리장치(310)은, 제8도에 나타낸 바와 같이, 로드로크 챔버(392)와 언로드 챔버(394) 사이에 위치하는 프로세스 챔버(320)를 구비하고 있다.
제1도에 나타낸 바와 같이, 상부전극을 이루는 챔버(320) 내에는, 하부전극을 이루는 제1서셉터(330) 및 제2서셉터(322)와, 정전척(340)이 설치되며, 챔버(320)의 상부 외측에는, 피처리체에 평행한 회전자장을 형성하기 위한 자석(50)이 설치되어 있다.
챔버(320)는, 하부를 개방한 통형상의 상부 챔버(320A)와 이 상부 챔버(320A)의, 하부에 고정되어 있는 바닥이 있는 통형상의 하부 챔버(320B)로 구성되며, 내부가 진공흡인이 가능하며, 또 에칭가스를 도입하는 공간이 형성되어 있다.
또, 하부 챔버(320B)에는, 내부에 세라믹스제의 바닥이 있는 통형상으로 구성한 단열체(322)가 채워져 있다.
그리고, 이 단열체(322)의 내부에는, 제1서셉터(330) 및 제2서셉터(332)가 배치되어 있다.
제1서셉터(330) 및 제2서셉터(332)는, 상하로 적층배치된 알류미늄 등의 도전성을 가지며 또 열양도성을 가지는 재료로 형성되어 있다. 이와 같은 분할 구조에 의하면, 상부측에 위치하는 제1서셉터(330)를 피처리체인 반도체 웨이퍼(360)의 크기에 대응하여 교환할 수가 있다.
그리고, 제2서셉터(332)는, 그 내부에 냉각쟈케트(332A)가 형성되며, 이 냉각쟈케트(332A)에, 예를들면 액체질소 등의 냉각매체를 순환시킴으로써, 제1서셉터(330) 및 정전척(340)을 통하여 피처리체인 반도체 웨이퍼(360)를 -50℃∼-100℃ 정도로 냉각하도록 되어 있다.
한편, 제1서셉터(330)의 상면 표면에는 정전척(340)이 재치고정되어 있다.
이 정전척(340)은, 상하 2장의 절연층 사이에, 예를들면 동 등의 도전성 시트(46)를 끼워서 배치하는 것에 의하여 구성되며, 쿨롱력에 의하여 반도체 웨이퍼 등의 피처리체(360)를 흡착고정한다.
또, 정전척(340)에 대향하는 제1서셉터(330)의 표면에는, 도시하지 않았으나, 정전척(340)과의 사이에 열전도용 기체가 충전되도록 되어 있고, 이 기체를 통하여 정전척(340)과 제1서셉터(330) 사이의 표면성이 균일하지 않는 경우에도 냉각을 가능하게 하고 있다.
또, 제1서셉터(330) 및 제2서셉터(322) 및 정전척(340)과의 대향위치에는, 피처리체를 위로 돌출하기 위한 풋셔 핀(370)이 끼워져서 통하도록 되어있다. 이 풋셔 핀(370)은, 정전척(340)으로부터 피처리체(360)를 꺼내는 경우 및 재치하는 경우에 승강할 수 있다.
한편, 상부 챔버(320A)와 하부 챔버(320B)로 구성되는 챔버(320)에는, 제8도에서, 로드로크 챔버(392) 및 언로드 챔버(394)와의 연결통로부와는 별도로, 주사(走査) 챔버(390)가 서로 통하게 되어 있다.
이 주사 챔버(390)에는, 챔버(320) 내에서 대향전극 사이에 생성되는 플라즈마에 대하여 진퇴가 가능한 프로우브(380)가 배치되어 있다. 이 프로우브(380)는, 플라즈마의 I-V 특성을 측정하여 플라즈마 생성상태를 주사하여 그 결과를 후술하는 제어부에 출력하기 위하여 설치되어 있는 것으로, 예를들면 신축과 승강이 가능한 아암(391)의 선단에 부착되어 있다. 그리고, 이 프로우브(380)는, 제8도에 나타낸 바와 같이, 플라즈마(P)의 생성 부에서, 특히 플라즈마 시이스(D)에 인접하는 플라즈마(P) 중에 삽입되도록 진출위치 및 높이 방향에서의 위치를 설정하고, 플라즈마 시이스(D)에 대하여 바람직하게는 5mm 이하의 범위 내로 접근하는 위치에 설정된다.
이것에 의하여, 프로우브(380)는, 제9도에서 이점쇄선으로 나타낸 바와 같이, 전자의 밀도가 가장 높은 위치에 셋팅되도록 되어 있다.
그런데, 본 실시예에서는 상부전극을 이루는 상부 챔버(320A) 및 하부전극을 이루는 제1서셉터(320)에는, RF전원(고주파 전원)으로부터의 RF전력공급 회로가 각각 접속되어 있다.
즉, RF전력공급회로로 구성되는 전력공급부는 그 구성이 제10도에 나타나 있다. 제10도에서, RF전원(400)은, 출력단의 한 쪽이 접지되고 다른 쪽이 매칭회로(420)를 통하여 전력공급 분배수단(440)의 입력단자(460)에 접속되어 있다.
매칭회로(420)는 전원측의 출력 인덕턴스를 상부전극 및 하부전극에의 입력 인덕턴스와 매칭시키는 것이다. 그리고, 상기 전력공급 분배수단(440)은, 트랜스(480)를 가지며, 이 트랜스(480)는, 1차측 코일(480A)과, 이것과 절연하여 설치되어 있는 2차측 코일(480B)로 구성되어 있다.
1차측 코일(480A)의 한 쪽단은 상기 입력단자(460)에 접속되며, 다른 쪽단은 접지되어 있다. 또, 2차측 코일(480B)의 양단(480B0),(480B10) 사이에는, 여러개의 중간단자가 설치되며, 양단(480B0).(480B10)은 각각 콘덴서(C1),(C2)를 통하여 상부전극 측의 상부 챔버(420A) 및 하부전극 측의 제1서셉터(430)에 접속된 출력단자(500),(520)에 접속되어 있다.
전력공급 분배수단(440)에는, 상부전극 및 하부전극에의 RF전력의 전력공급 분배비를 설정하도록 되어 있다.
이 때문에, 전력공급 분배수단(440)에는, 분배비율을 가변하기 위한 파워 스프린터(540)이 설치되어 있다.
즉, 2차코일(480B)에는, 양단(480B0),(480B10) 사이에서 그 총 감김수를 예를들면 10등분하는 중간 탭단자(480B1) 내지(480B9)이 설치되며, 한 쪽단이 설치된 가동단자(540)를 어느 한 쪽에 접촉시킴으로써, 상부 각 전극 사이에서의 전력공급비율을 변화시킬 수 있다.
상기 가동단자(540A)는, 후술하는 제어부(560)에 의하여 접촉할 중간단자에의 이동을 제어하도록 되어 있다. 즉, 제어부(560)는, 입력측에 프로우브(380)가 접속되고, 출력측에는 구동부(580)를 통하여 가동단자(540A)를 이동시키기위한, 예를들면 스텝핑 모터(590) 및 자석(350)을 회전구동하기 위한 모터(491)의 구동부(592)가 각각 접속되어 있다.
이 제어부(560)에서는, 프로우브(380)로부터 입력되는 I-V 특성에 의하여, 생성되는 플라즈마의 상태를 나누어 출력하고 피처리체에 있어서의 면(面)내 균일성을 설정하기 위한 대향전극 사이에서의 RF전력 공급 상태를 제어하도록 되어 있다. 이 때문에, 제어부(560)는, 1-V 특성으로부터, 예를들면, 적어도 플라즈마 전위, 이온전류, 전자 온도 등의 플라즈마 패러메터를 면내 균일성을 얻을 수 있는 기준치와 비교하고, 차이가 있는 경우에 그것을 없애도록 상하 각 전극에의 전력 공급 분배비율을 설정한다. 이 경우, 플라즈마 패러메터와 면내 균일성이 얻어지는 전력 공급 분배의 최적비율과의 관계를 나타내는 모델을 미리 제어부(560)에 입력하여 놓고, 이 모델에 의거하여 전력공급 분배의 비율을 설정하여도 좋다. 따라서 대향전극 사이에 만이 아니고, 전극의 한 쪽으로부터 챔버내벽을 향하여 흩날리는 전자의 존재 등에 의하여 플라즈마 중에서의 상기 패러메터가 변화한 경우도 수정할 수 있다.
또, 제어부(560)에서는, 상기 프로우브(380)에 의하여 플라즈마 상태를 주사하는 경우의 다음 제어가 이루어진다. 즉, 플라즈마의 상태를 주사하는 경우에는, 자석(350)의 회전을 정지하여 자장방향을 고정한다. 이것은 자석(350)을 회전시키면, 자력선 방향이 변화해버리고 말며, 전자의 이동방향도 이에 따라 변화함으로써 플라즈마도 회전하기 때문에, 당초, 프로우브(380)이 대응하고 있던 위치에서의 플라즈마의 대면위치가 다르게 되어 버리고 마는 것을 방지하기 위함이다.
또, 이와 같은 조건과는 별도로, 회전정지 위치를 여러 개 설정한다 결국, 피처리체(360)를 고정한 채 자장방향을 다르게 하면, 상기한 바와 같이 플라즈마가 회전함으로써 피처리체에 대한 대면 위치가 변화한다. 따라서 이와 같은 현상을 이용하여 피처리체(360) 및 프로우브(380)의 위치를 고정한 다음, 플라즈마만을 회전시키고, 회전 도중에 자석(350)을 정지함으로써, 피처리체(360)에 대한 플라즈마의 대면위치를 다르게 할 수 있다.
이에 따라, 예를들면 피처리체(360)를 평면에서 보아 동서남북으로 간막이한 경우, 자석의 회전정지위치를 45도마다 설정하면 피처리체(360)에 대한 플라즈마의 대면위치를 둘레방향에서 여러 부분에 설정할 수가 있고, 이에따라 프로우브(380)에 의한 주사를 여러 부분에서 한 것과 같은 것으로 된다.
이어서 작용에 대하여 설명한다.
본 실시예에서는, 플라즈마 생성 상태의 주사는, 피처리체로서 더미웨이퍼를 사용하여 플라즈마 처리 실행전에 이루어진다. 즉, 더미의 피처리체를 정전척(340)에 의하여 흡착고정하고, 챔버(320) 내를 진공흡인한 후, 플라즈마 가스를 흡입하고, 이어서 상부챔버(320A) 및 제1서셉터(320)에 대하여 RF전력을 공급함으로써 전극간에 플라즈마를 생성한다. 플라즈마가 생성되어 있는 시점에서 주사 챔버(390)를 서로 통하게 하여 프로우브(380)를 소정위치에 셋팅하고 플라즈마 생성상태를 주사한다. 이 때, 자석(350)은 정지되어, 소위 자장방향이 고정되어 있음을 말할 나위가 없다. 이 경우, 전극간에서의 전력공급 분배비율을 100:0 으로 하고, 이 상태를 서로 번갈아서 설정하면, 피처리체의 지름방향에서 잔자밀도가 높은 위치가 양단에 가능하기 때문에, 피처리체의 내면에서의 주사에 가깝게 할 수가 있다.
프로우브(380)에 의한 플라즈마의 I-V 특성은 제어부(560)에 입력된다. 제어부(560)에서는, 플라즈마의 상태를 각 패러메터와 기준치와의 비교에 의하여 판단하고, 가령 적정한 플라즈마의 생성상태가 아닌 경우에는, 이 편차에 따라서 전력공급 분배율을 설정한다. 따라서 제어부(560)에서 설정된 전력공급 분배율을 기초로, 전력공급 분배수단(480)에서는, 스테핑모터(590)의 회전량이 설정되어 가동단자(540A)의 접촉위치가 결정된다.
이와 같이 대향전극 사이에서의 전력공급 분배비율이 변경되면, 예를들면 분위기 가스의 압력에 의하여 전자의 운동상태가 변화함으로써 플라즈마의 안정한 생성이 이루어지지 않는 경우에, 플라즈마를 안정상태로 수정할 수가 있다.
한편, 이와 같은 주사를 피처리체로서 사용되는 더미 웨이퍼의 여러 위치에서 하는 경우에는, 더미 웨이퍼를 정전척(340) 상에 흡착고정한 상태로 자석(350)을 1회째의 주사위치로부터, 예를들면 45도마다 회전시킨 위치에서 정지시킨다. 그리고, 각 정지위치에서 프로우브(380)를 플라즈마 내의 소정위치에 세팅하여 주사를 하고, 전술한 경우와 경우와 같도록 제어를 한다.
본 실시예는, 마그네트론 플라즈마 처리장치중, 자석을 상부전극의 외측에서 윗쪽으로 접근시킨 구조의 것을 대상으로 하여 설명하였으나, 이와 같은 구조에 한정되지 않는다. 예를들면, 반응실내에 캐소드 전극과 자장발생기를 배치한 구조를 대상으로 할 수도 있다.
또, 자석으로서 영구자석만이 아니고, 전자석을 사용할 수도 있다.
이상 설명한 바와 같이, 본 발명에 의한 플라즈마 처리장치에 의하면, 대향전극에서 플라즈마를 생성하기 위하여 설정되는 전력공급상태는 더미의 피처리체를 대상으로 한 플라즈마 생성상태를 주사하는 것에 의하여 설정된다. 따라서 대향전극 사이에서의 전력 공급상태가 플라즈마의 안정한 생성상태를 얻도록 수정되기 때문에 안정한 플라즈마 생성에 의한 피처리체의 면내 균일성을 확보할 수가 있게 된다.
또, 본 발명에 의하면, 피처리체를 고정한 상태에서 자석의 회전정지위치를 여러 개 설정함으로써 피처리체상에서 여러 위치에서의 플라즈마 생성상태를 주사한 것과 같은 상태가 얻어진다. 따라서, 플라즈마의 안정성을 더욱 치밀하게 제어하여 피처리체의 면내 균일성을 향상시킬 수 있다.
이어서 제11도 내지 제14(b)도에 의하여 다른 실시예에 대하여 설명한다.
상기 실시예에서는, 프로우브(380)에서 얻어진 패러메터에 의거하여 제어부(560)에 의하여, 상부 및 하부전극에의 전력공급 분배비율을 변화시킨 예를 나타냈으나, 이것에 한정되지 않고, 예를들면 제11도에 나타낸 바와 같이, 챔버(320)에 전원(610)에 접속된 플라즈마 생성수단으로서의 코일(600)을 설치한 플라즈마 처리장치에서, TCP형 코일(600)의 유효감김수, 유효 감김영역, 또는 유효감김간격을 변할 수 있게 하고, 제어부(560)로부터의 신호에 의하여 TCP형 코일(600)의 유효감김수, 유효 감김영역, 또는 유효감김간격을 변화시켜도 좋다.
또, 제12도에 나타낸 바와 같이, 챔버(320)에, 전원(670)에 접속된 플라즈마 생성수단으로서의 ICP형 코일(620)을 설치한 플라즈마 처리장치에서, ICP형 코일(620)의 유효감김수, 유효 감김영역, 및 유효감김간격을 변할 수 있게 하고, 제어부(560)로부터의 신호에 의하여 ICP형 코일(620)의 유효감김수, 유효 감김영역, 및 유효감김 간격을 변화시켜도 좋다.
또, 제11도 및 제12도에서, 반도체 웨이퍼(360)를 재치하는 서셉터(330)는 접지하여도 좋고, 또 서셉터(330)가 접지된 전원에 콘덴서를 통하여 접지하여도 좋다.
또, 제13(a)도 및 제13(b)도에 나타낸 바와 같이, 접지된 상부전극(630)과, 접지된 전원(635)에 콘덴서(636)를 통하여 접지된 하부전극(서셉터)(330)을 가지는 플라즈마 처리장치에서, 상부전극(630) 및 하부전극(330)의 유효직경(R)을 가변(즉, 유효면적을 가변)으로 함과 동시에, 제어부(560)으로부터의 신호에 의거하여 상부전극(630) 및 하부전극(330)의 유효면적을 변화시켜도 좋다.
또, 제14(a)도 및 제14(b)도에 나타낸 바와 같이, 상부전극(630)에 다수 개의 처리가스 공급로(641)를 설치한 플라즈마 처리장치에서, 밸브 등을 사용하여 처리가스 공급로(641)의 직경(642)을 조절하여 처리가스 공급로(641)의 유효 배치영역(640) 또는 유효 단면적을 가변으로 하고, 제어부(560)로부터의 신호에 의거하여 처리가스 공급로(641)의 유효배치 영역(640) 또는 유효단면적을 가변으로 하여도 좋다.
또, 본 실시예에서 나타낸 프로우브(380)로 얻어진 플라즈마 패러메터에 의거하여, 제어장치(567)에 의하여 전력공급 분배비율, 코일(600),(620)의 유효감김수, 유효 감김영역, 또는 유효감김간격, 상부 또는 하부전극(630),(330)의 유효면적, 처리가스 공급로(641)의 유효배치영역 또는 유효 단면적을 가변으로하는 구성을, 실시예 1에 적용하여도 좋다. 또, 제어장치(560)에 의한 각 부재의 변경은, 반도체 웨이퍼 1장의 처리마다 해도 좋고, 여러 장의 웨이퍼에 대하여 해도 좋다.

Claims (13)

  1. 챔버와, 상기 챔버 내에 플라즈마를 생성시키는 플라즈마생성수단과, 상기 챔버 내에 설치되고, 피처리체를 재치하는 피처리체측 전극과, 상기 피처리체측 전극을 관통하여 뻗고, 처리가 종료한 후, 상기 피처리체측 전극으로부터 상기 피처리체를 분리하도록 상기 피처리체와 상기 피처리체와 접촉되어 있는 핀, 및 상기 핀의 최종 끝단에 설치되고, 상기 피처리체보다 작은 경도를 가지는 도전성 고무를 구비하는 플라즈마처리장치.
  2. 제1항에 있어서, 상기 도전성 고무가 실리콘 고무로 만들어져 있는 것을 특징으로 하는 플라즈마처리장치.
  3. 챔버와, 상기 챔버 내에 플라즈마를 생성시키는 플라즈마생성수단과, 상기 챔버 내에 설치되고, 피처리체를 재치하는 피처리체측 전극과, 상기 피처리체측 전극을 관통하여 뻗고, 처리가 종료한 후, 상기 피처리체측 전극으로부터 상기 피처리체가 분리하도록 상기 피처리체와 접촉되어 있는 도전성 핀과, 상기 핀을 상기 피처리체측 전극에 전기적으로 접속한 접속회로와, 상기 피처리체측 전극에 전기적으로 접속된 접지회로, 및 상기 핀의 끝단에 고정되고, 상기 피처리체보다 작은 경도를 가지는 도전성 고무부재를 구비하는 플라즈마 처리장치.
  4. 제3항에 있어서, 상기 도전성 고무가 실리콘 고무로 만들어져 있는 것을 특징으로 하는 플라즈마 처리장치.
  5. 제3항에 있어서, 상기 피처리체측 전극과 상기 접지회로 사이에 설치된 스위치를 더욱 구비하는 것을 특징으로 하는 플라즈마 처리장치.
  6. 제3항에 있어서, 상기 피처리체측 전극에 접속된 전력공급회로를 더욱 구비하며, 상기 접지회로의 임피던스는, 상기 전력공급회로의 임피던스보다 적어도 10배 이상 높은 것을 특징으로 하는 플라즈마 처리장치.
  7. 제3항에 있어서, 상기 피처리체측 전극 상에 설치되고, 상기 피처리체를 전기적으로 흡착유지하는 정전척을 더욱 구비하는 것을 특징으로 하는 플라즈마 처리장치.
  8. 제3항에 있어서, 상기 피처리체측 전극 상에 설치되고, 상기 피처리체를 기계적으로 유지하는 메카니컬 척을 더욱 구비하는 것을 특징으로 하는 플라즈마 처리장치.
  9. 제3항에 있어서, 상기 플라즈마 생성수단이 대향전극을 가지며, 상기 피처리체측 전극은 상기 대향전극 중 하나인 것을 특징으로 하는 플라즈마 처리장치.
  10. 제3항에 있어서, 상기 챔버 바깥에 설치되고, 회전하는 자장을 발생시키는 자장발생수단을 더욱 구비하는 것을 특징으로 하는 플라즈마 처리장치.
  11. 제3항에 있어서, 상기 플라즈마 생성수단이 TCP형 코일을 가지는 TCP형인 것을 특징으로 하는 플라즈마 처리장치.
  12. 제3항에 있어서, 상기 플라즈마 생성수단이 ICP형 코일을 가지는 ICP형인 것을 특징으로 하는 플라즈마 처리장치.
  13. 플라즈마 처리장치를 사용하여 피처리체에 남아있는 전기차지를 없애는 플라즈마 처리방법에 있어서, 챔버를 설치하고, 플라즈마 생성수단에 의하여 상기 챔버 내에 플라즈마를 생성하고, 상기 챔버 내에 설치된 피처리체측 전극에 피처리체를 유지하고, 도전성 핀으로 상기 피처리체측 전극을 관통하여 뻗고, 처리가 종료된 후, 상기 도전성 핀은 상기 피처리체측 전극으로부터 상기 피처리체를 분리하도록 상기 피처리체에 접촉되어 있는 것을 구비하며, 상기 도전성 핀은, 상기 피처리체측 전극에 전기적으로 접속되어 있으며, 상기 피처리체측 전극은, 접지회로에 접속되어 있으며, 도전성 고무부재는, 상기 핀의 앞끝단에 설치되고, 상기 피처리체보다 작은 경도를 가지는 것을 특징으로 하는 플라즈마 처리장치.
KR1019940002805A 1993-02-16 1994-02-16 플라즈마 처리장치 KR100270398B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP93-49997 1993-02-16
JP93-49995 1993-02-16
JP5049995A JPH06244151A (ja) 1993-02-16 1993-02-16 プラズマ処理装置
JP4999793A JPH06244147A (ja) 1993-02-16 1993-02-16 プラズマ処理装置
JP13915793A JP3264391B2 (ja) 1993-05-17 1993-05-17 静電吸着体の離脱装置
JP93-139157 1993-05-17

Publications (2)

Publication Number Publication Date
KR940020497A KR940020497A (ko) 1994-09-16
KR100270398B1 true KR100270398B1 (ko) 2000-12-01

Family

ID=27293798

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940002805A KR100270398B1 (ko) 1993-02-16 1994-02-16 플라즈마 처리장치

Country Status (2)

Country Link
US (1) US5665167A (ko)
KR (1) KR100270398B1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100773722B1 (ko) 2005-09-06 2007-11-06 주식회사 아이피에스 플라즈마 처리장치
KR100887459B1 (ko) * 2006-03-31 2009-03-10 도쿄엘렉트론가부시키가이샤 기판 탑재대 및 플라즈마 처리 장치
KR20140030450A (ko) * 2012-08-29 2014-03-12 세메스 주식회사 지지유닛
KR20140124762A (ko) * 2012-02-20 2014-10-27 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법
KR20190137062A (ko) * 2018-05-28 2019-12-10 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3249765B2 (ja) * 1997-05-07 2002-01-21 東京エレクトロン株式会社 基板処理装置
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
JP3028462B2 (ja) * 1995-05-12 2000-04-04 東京エレクトロン株式会社 熱処理装置
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5885353A (en) * 1996-06-21 1999-03-23 Micron Technology, Inc. Thermal conditioning apparatus
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5800623A (en) * 1996-07-18 1998-09-01 Accord Seg, Inc. Semiconductor wafer support platform
US5790365A (en) * 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
SG60123A1 (en) * 1996-10-08 1999-02-22 Applied Materials Inc Improved darkspace shield for improved rf transmission in inductively coupled plasma sources for sputter deposition
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JPH10270428A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6182376B1 (en) 1997-07-10 2001-02-06 Applied Materials, Inc. Degassing method and apparatus
US6276072B1 (en) 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6113698A (en) 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6084215A (en) * 1997-11-05 2000-07-04 Tokyo Electron Limited Semiconductor wafer holder with spring-mounted temperature measurement apparatus disposed therein
US6139678A (en) * 1997-11-20 2000-10-31 Trusi Technologies, Llc Plasma processing methods and apparatus
US6395128B2 (en) * 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US6112697A (en) * 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6773562B1 (en) * 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
KR100508770B1 (ko) * 1998-03-28 2005-11-08 삼성전자주식회사 균일한 식각이 가능한 건식 식각 설비
US6033482A (en) 1998-04-10 2000-03-07 Applied Materials, Inc. Method for igniting a plasma in a plasma processing chamber
JP3296292B2 (ja) * 1998-06-26 2002-06-24 松下電器産業株式会社 エッチング方法、クリーニング方法、及びプラズマ処理装置
US6117279A (en) * 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US7192494B2 (en) 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US6287976B1 (en) 1999-05-19 2001-09-11 Tru-Si Technologies, Inc. Plasma processing methods and apparatus
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
KR100319891B1 (ko) * 1999-06-29 2002-01-10 윤종용 웨이퍼용 열처리 방법
DE60037251T2 (de) * 1999-07-02 2008-10-09 Matsushita Electric Industrial Co., Ltd., Kadoma Anordnung zur Herstellung von Löthöckern auf Halbleitersubstraten unter Generierung elektrischer Ladung, Methode und Anordnung zum Entfernen dieser Ladungen, und elektrische Ladung generierendes Halbleitersubstrat
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
KR100503897B1 (ko) * 2000-02-19 2005-07-25 엘지.필립스 엘시디 주식회사 건식식각 장치의 기판 파손방지 방법 및 건식식각 장치
JP4744671B2 (ja) * 2000-05-22 2011-08-10 東京エレクトロン株式会社 枚葉式処理装置
US6644964B2 (en) * 2000-06-20 2003-11-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6494958B1 (en) * 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
KR100378187B1 (ko) * 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
US6749764B1 (en) * 2000-11-14 2004-06-15 Tru-Si Technologies, Inc. Plasma processing comprising three rotational motions of an article being processed
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
KR100765539B1 (ko) * 2001-05-18 2007-10-10 엘지.필립스 엘시디 주식회사 화학기상 증착장비
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
DE10340147B4 (de) * 2002-08-27 2014-04-10 Kyocera Corp. Trockenätzverfahren und Trockenätzvorrichtung
US7459098B2 (en) * 2002-08-28 2008-12-02 Kyocera Corporation Dry etching apparatus, dry etching method, and plate and tray used therein
US7556741B2 (en) * 2002-08-28 2009-07-07 Kyocera Corporation Method for producing a solar cell
CN1296878C (zh) * 2003-11-04 2007-01-24 爱德牌工程有限公司 平板显示器制造装置
KR100784381B1 (ko) * 2004-07-23 2007-12-11 삼성전자주식회사 증착 장치 및 방법
US8453600B2 (en) * 2004-12-28 2013-06-04 Hitachi Kokusai Electric Inc. Substrate processing apparatus
WO2007077765A1 (ja) * 2005-12-28 2007-07-12 Sharp Kabushiki Kaisha ステージ装置及びプラズマ処理装置
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US20100139562A1 (en) * 2008-12-10 2010-06-10 Jusung Engineering Co., Ltd. Substrate treatment apparatus
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US20110024049A1 (en) * 2009-07-30 2011-02-03 c/o Lam Research Corporation Light-up prevention in electrostatic chucks
JP5524668B2 (ja) * 2010-03-26 2014-06-18 ラピスセミコンダクタ株式会社 ウエハ保持装置及び方法
JP2012174819A (ja) * 2011-02-21 2012-09-10 Sokudo Co Ltd 熱処理装置および熱処理方法
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US20130068393A1 (en) * 2011-09-18 2013-03-21 Kai-Ti Yang Laminating equipment for touch panel
CN103576464B (zh) * 2012-07-20 2016-03-09 上海微电子装备有限公司 一种推顶机构及具有该推顶机构的光刻装置
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9624574B2 (en) * 2014-05-12 2017-04-18 Varian Semiconductor Equipment Associates, Inc. Platen with multiple shaped grounding structures
CN105428197B (zh) * 2015-11-17 2017-06-16 武汉华星光电技术有限公司 干蚀刻机及干蚀刻机的下电极
JP6745134B2 (ja) * 2016-05-12 2020-08-26 東京エレクトロン株式会社 プラズマ処理装置
CN106607320B (zh) * 2016-12-22 2019-10-01 武汉华星光电技术有限公司 适用于柔性基板的热真空干燥装置
CN108538775B (zh) * 2017-03-06 2021-07-09 北京北方华创微电子装备有限公司 顶针、下电极装置
CN111466017B (zh) * 2017-12-21 2023-10-20 东京毅力科创株式会社 基板支承构件、基板处理装置以及基板输送装置
JP7110020B2 (ja) * 2018-07-24 2022-08-01 キオクシア株式会社 基板支持装置およびプラズマ処理装置
CN113862645B (zh) * 2021-09-28 2023-09-08 北京北方华创微电子装备有限公司 承载装置及半导体工艺腔室

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3767551A (en) * 1971-11-01 1973-10-23 Varian Associates Radio frequency sputter apparatus and method
EP0017472A1 (en) * 1979-04-06 1980-10-15 Lintott Engineering Limited Evacuable equipment containing a device for heat transfer and process for the manufacture of semi-conductor components using this equipment
FR2463975A1 (fr) * 1979-08-22 1981-02-27 Onera (Off Nat Aerospatiale) Procede et appareil pour la gravure chimique par voie seche des circuits integres
JPS5687672A (en) * 1979-12-15 1981-07-16 Anelva Corp Dry etching apparatus
JPS605539A (ja) * 1983-06-23 1985-01-12 Fujitsu Ltd プラズマ処理方法
JPS6110239A (ja) * 1984-06-25 1986-01-17 Nec Kansai Ltd 半導体製造装置
JPS61239620A (ja) * 1985-04-17 1986-10-24 Hitachi Ltd プラズマcvd装置
GB8622820D0 (en) * 1986-09-23 1986-10-29 Nordiko Ltd Electrode assembly & apparatus
JPH0834205B2 (ja) * 1986-11-21 1996-03-29 株式会社東芝 ドライエツチング装置
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
JPH01283934A (ja) * 1988-05-11 1989-11-15 Tokyo Electron Ltd エッチング装置およびその制御方法
JPH022025A (ja) * 1988-06-13 1990-01-08 Casio Comput Co Ltd 印字ヘッド
JPH0712153B2 (ja) * 1988-07-30 1995-02-08 日本電気株式会社 Fm受信機
JP2525652B2 (ja) * 1988-09-28 1996-08-21 トリニティ工業株式会社 塗装品乾燥炉
US5226056A (en) * 1989-01-10 1993-07-06 Nihon Shinku Gijutsu Kabushiki Kaisha Plasma ashing method and apparatus therefor
US5213650A (en) * 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
JPH0426781A (ja) * 1990-05-21 1992-01-29 Hitachi Ltd プラズマ処理方法およびその装置
JP3016821B2 (ja) * 1990-06-15 2000-03-06 東京エレクトロン株式会社 プラズマ処理方法
US5255153A (en) * 1990-07-20 1993-10-19 Tokyo Electron Limited Electrostatic chuck and plasma apparatus equipped therewith
JPH0478133A (ja) * 1990-07-20 1992-03-12 Tokyo Electron Ltd プラズマ処理装置
JP3182615B2 (ja) * 1991-04-15 2001-07-03 アネルバ株式会社 プラズマ処理方法および装置
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5380566A (en) * 1993-06-21 1995-01-10 Applied Materials, Inc. Method of limiting sticking of body to susceptor in a deposition treatment

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100773722B1 (ko) 2005-09-06 2007-11-06 주식회사 아이피에스 플라즈마 처리장치
KR100887459B1 (ko) * 2006-03-31 2009-03-10 도쿄엘렉트론가부시키가이샤 기판 탑재대 및 플라즈마 처리 장치
KR20140124762A (ko) * 2012-02-20 2014-10-27 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법
KR102038649B1 (ko) * 2012-02-20 2019-10-30 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법
US10755894B2 (en) 2012-02-20 2020-08-25 Tokyo Electron Limited Power supply system
KR20140030450A (ko) * 2012-08-29 2014-03-12 세메스 주식회사 지지유닛
KR101991799B1 (ko) * 2012-08-29 2019-06-24 세메스 주식회사 지지유닛 및 이를 가지는 기판처리장치
KR20190137062A (ko) * 2018-05-28 2019-12-10 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치
KR102207755B1 (ko) 2018-05-28 2021-01-26 주식회사 히타치하이테크 플라스마 처리 장치

Also Published As

Publication number Publication date
KR940020497A (ko) 1994-09-16
US5665167A (en) 1997-09-09

Similar Documents

Publication Publication Date Title
KR100270398B1 (ko) 플라즈마 처리장치
KR100281935B1 (ko) 자기 바이어스 측정방법 및 그 장치와 정전 흡착장치
KR100274757B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
KR100247532B1 (ko) 플라즈마 처리장치
KR100383787B1 (ko) 플라즈마처리장치
US5539179A (en) Electrostatic chuck having a multilayer structure for attracting an object
KR100499763B1 (ko) 플라즈마 에칭장치
US5382311A (en) Stage having electrostatic chuck and plasma processing apparatus using same
KR100242529B1 (ko) 정전척을 가지는 재치대 및 이것을 사용한 플라즈마 처리장치
US5250137A (en) Plasma treating apparatus
US20080236492A1 (en) Plasma processing apparatus
US20100025372A1 (en) Plasma processing method and apparatus
JP4493756B2 (ja) プラズマ処理装置およびプラズマ処理方法
KR20000011969A (ko) 마그네트론플라즈마처리장치
KR100842452B1 (ko) 플라즈마 처리 장치용 전극 어셈블리 및 플라즈마 처리장치
US20080242086A1 (en) Plasma processing method and plasma processing apparatus
US6764575B1 (en) Magnetron plasma processing apparatus
JP2012524417A (ja) 基板と静電クランプとの間の電荷の除去
TW201301334A (zh) 電漿處理裝置
US5554249A (en) Magnetron plasma processing system
CN107004580A (zh) 用于物理气相沉积的电介质沉积的设备
JP3230821B2 (ja) プッシャーピン付き静電チャック
JP3311812B2 (ja) 静電チャック
US20090242128A1 (en) Plasma processing apparatus and method
JP3040630B2 (ja) プラズマ処理装置およびプラズマ処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080721

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee