JP2002173768A - プラズマ密度改良のための埋込み式プラズマ源 - Google Patents

プラズマ密度改良のための埋込み式プラズマ源

Info

Publication number
JP2002173768A
JP2002173768A JP2001247604A JP2001247604A JP2002173768A JP 2002173768 A JP2002173768 A JP 2002173768A JP 2001247604 A JP2001247604 A JP 2001247604A JP 2001247604 A JP2001247604 A JP 2001247604A JP 2002173768 A JP2002173768 A JP 2002173768A
Authority
JP
Japan
Prior art keywords
plasma
processing space
vacuum chamber
enclosure
process gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001247604A
Other languages
English (en)
Inventor
Buruka Josef
ブルカ ジョゼフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Tokyo Electron Arizona Inc
Original Assignee
Tokyo Electron Ltd
Tokyo Electron Arizona Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Tokyo Electron Arizona Inc filed Critical Tokyo Electron Ltd
Publication of JP2002173768A publication Critical patent/JP2002173768A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

(57)【要約】 【課題】 処理スペース内のプロセス・ガスから1次誘
導結合プラズマを生成して、基板を処理するプラズマ生
成アセンブリを含むプラズマ処理システム内で、プラズ
マの特徴を調整する装置および方法。 【解決手段】 壁付きのエンクロージャを処理スペース
に隣接して設け、自身内のプロセス・ガスから容量結合
プラズマを生成するよう構成する。エンクロージャは、
さらに、容量結合プラズマが処理スペース内に入ること
を可能にする1つまたは複数の出口を含む。容量結合プ
ラズマからの荷電粒子を与えて、誘導結合プラズマ内の
プラズマ密度を変更するか、誘導結合プラズマの生成に
必要な電力を減少させることができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は基板のプラズマ処
理、特にプラズマ処理システムのプラズマ密度を改良す
る装置および方法に関する。
【0002】
【従来の技術】半導体、つまり集積回路の製造は通常、
複数の別個の処理ステップで構成され、その間、集積回
路の無数の複製が1枚の基板またはウェーハ上に形成さ
れる。概して、製造手順は、半導体基板の表面上および
表面内に複数のパターン化した層を生成し、最終的に電
気的能動領域、受動領域および集積回路を備える相互接
続部を形成する必要がある。
【0003】集積回路を製造する現代の技術は、プラズ
マ・プロセスを大量に組み込んで、半導体基板の表面を
改変し、複数のパターン化層を生成する。例えば、プラ
ズマ・エッチング・プロセスは、プラズマを使用して基
板表面から異物の層を選択的に除去するか、低エネルギ
ー・イオンの衝突により表面を調整する。別の例とし
て、物理蒸着プロセスは、プラズマを使用して、コーテ
ィング材料の表面から基板表面に蒸着した薄膜の特徴を
制御することができる。コーティング材料の中性原子を
高度にイオン化すると、基板への移行中にコーティング
材料の制御が強化されることが判明している。
【0004】イオン化物理蒸着(IPVD)は、薄膜ま
たはコーティングを半導体基板の表面に付着させるのに
使用するプラズマ強化蒸着プロセスである。コーティン
グ材料の源を、通常は基板を保持する基板支持体の反対
側で、真空室内に配置する。源は、所望の元素組成を有
する固体ターゲットのスパッタなどによって、コーティ
ング材料の原子または原子クラスタのフラックスを生成
する。基板上に薄膜として蒸着させるため、コーティン
グ材料のフラックスは、源と基板とを分離する処理空間
内に拘束された高密度プラズマを横断しなければならな
い。高密度プラズマは、高周波(RF)エネルギーを、
処理空間内で作業圧力に維持されたプロセス・ガスに結
合することによって、連成することができる。
【0005】コーティング材料のフラックスの有意な部
分が、プロセス・ガスのプラス・イオン(ペニング・イ
オン化)と高密度プラズマを構成する自由電子(衝突イ
オン化)との衝突による相互作用によってイオン化され
る。電子密度が1011cm-3を上回る高密度プラズマで
は、電子衝突イオン化がIPVDの支配的プロセスであ
る。コーティング材料のプラス・イオンを優先的に引き
つけるため、基板はマイナスにバイアスをかけることが
できる。マイナスのバイアス電位は、基板支持体が電気
的に浮動状態にある場合、またはバイアス電圧を基板支
持体および基板に直接加えた場合、非接地基板をプラズ
マに浸漬することに伴って生じることがある。マイナス
のバイアス電位は、コーティング材料のプラス・イオン
の軌道を加速させて配向し、したがってイオンは垂直に
近い入射角度で基板の表面に衝突する傾向がある。その
結果、蒸着したコーティング材料は、通路、線、接触穴
およびトレンチなど、アスペクト比が高いミクロン以下
の機構の底部および側壁を、より効果的に覆う。
【0006】高密度プラズマを生成するRFエネルギー
は、真空室の外部または内部に配置したアンテナまたは
励起コイルに作動自在に接続されたRF電源によって供
給される。励起コイルを外部に配置した場合は、真空室
の壁にさらに、コイルからのRFエネルギーがプラズマ
を点火して保持でき、コイルがプラズマと直接接触しな
いよう隔離する誘電ウィンドウを設けることができる。
【0007】誘電ウィンドウは通常、静電遮蔽材によっ
てマスキングし、これは通常、導電性材料で形成されて
真空室に配置され、ファラデー遮蔽および物理的遮蔽と
して機能する。遮蔽材にある複数の開口により、寄生容
量結合の望ましくない成分を抑制しながら、励起コイル
から放射されるRFエネルギーをプラズマと誘導結合す
ることができる。物理遮蔽として、遮蔽材は、プラズマ
からウィンドウを遮蔽することにより、コーティング材
料の望ましくない導電層がウィンドウに付着するのを防
止する。誘導性RF電界が誘導材料の浸入深さとともに
指数関数的に吸収されるので、励起コイルからのRFエ
ネルギーは、もはやプラズマと効率的に結合することが
できない。その結果、プラズマ密度が減少し、蒸着プロ
セスが劣化するか、密度の低下を補償するため、RF電
力を増加しなければならない。望ましくない導電層の厚
さが、浸入度と呼ばれる周波数依存の閾値を超えると、
有意のRF電力損が発生する。
【0008】典型的なコーティング材料の源が、標的の
近傍に限定されたプラズマに対してマイナスにバイアス
がかかった、高純度コーティング材料、および真空室の
接地壁などの室の陽極で構成された標的をスパッタす
る。通常、標的は、高密度プラズマからのプラス・イオ
ンを引きつけるためバイアス電位を供給する直流電源に
作動自在に接続される。源は、プラズマを生成し、標的
の付近に制限するため、磁気構造を組み込んだマグネト
ロン設計であることが多い。
【0009】従来の誘導結合プラズマ処理システムは、
大面積ウェーハ処理への広範な適用を限定する短所およ
び欠陥を有する。誘導結合プラズマ生成アセンブリによ
って生成された高密度プラズマは、プラズマ密度の半径
方向に大きなむらがある。室壁付近の損失のため、処理
スペースにおけるプラズマは、真空室の中心対称軸の周
囲で選択的にピークになり、室壁付近でプラス・イオン
がなくなる。このように半径方向にむらがあるプラズマ
を基板表面のエッチングに使用すると、除去された層
は、エッチング速度を制御するイオン・フラックスの減
少のため、基板の周囲付近で薄くなる。IPVD装置で
は、プラズマ密度の半径方向にむらがある分布は、蒸着
した薄膜の特性および機構の被覆率に影響を与えること
がある。例えば、薄膜の厚さは、標的の幾何学的形状の
ため均一にすることができるが、ステップ・カバレージ
はイオン分布にむらがあるためにウェーハ直径にわたっ
て変化することがある。エッチングまたは蒸着のむら
は、直径が大きい基板で最も顕著である。半導体製造
は、大面積ウェーハに向かう傾向があるので、プラズマ
密度のむらの存在は、IPVDシステムおよびプラズマ
・エッチング・システムなど、その後のプラズマ処理シ
ステムでさらに重大になる。
【0010】誘導結合プラズマ生成アセンブリによって
生成されるプラズマは、誘導結合高密度プラズマを開始
するために供給しなければならないRF電力の量に関し
て、特定の制約を呈する。特定の状況では、RF電力を
減少させることによって誘導結合プラズマを消去しなけ
ればならない。例えば、真空室へ基板を出し入れするた
めにRF電力を低下させるか、真空室を通気して大気圧
にする場合は、RF電源を無効にしなければならない。
プラズマの誘導結合成分を再始動するには、RF電源で
大量のRF電力を提供しなければならない。例えば、誘
導結合高密度プラズマを始動するのに必要な電力は、同
様の室の状態で、容量性結合プラズマを始動するのに必
要な電力を、1桁上回ることがある。
【0011】
【発明が解決しようとする課題】以上の考慮事項および
問題の結果、基板付近のプラズマの一様性を向上させ、
誘導結合プラズマを始動するのに必要なRF電力を減少
させるため、誘導結合プラズマ処理システムの1次高密
度誘導結合プラズマを補うことができる装置および方法
に対する要求がある。
【0012】
【課題を解決するための手段】本発明は、誘導結合プラ
ズマ処理システムのプラズマ密度の一様性を向上させる
装置および方法を都合良く提供する。本発明は、さら
に、処理システム内で高密度誘導結合プラズマを始動す
るのに必要なRF電力レベルが低下するよう、補足的な
容量結合プラズマが提供される装置および方法を都合良
く提供する。さらに、本発明は、従来の室の設計を大幅
に変更することなく、現在のプラズマ処理システムに組
み込むことができるプラズマ処理作業のため、より効率
的で効果的な装置および方法を都合良く提供する。
【0013】本発明の原理によると、1つまたは複数の
中空の陽極アセンブリを、基板の処理に誘導結合高密度
プラズマを使用するプラズマ処理装置の真空室の内側に
配置する。各中空陽極アセンブリは、真空室内にあるプ
ロセス・ガスの一部を受け、容量結合プラズマを自身内
に含むよう動作可能である1つまたは複数のエンクロー
ジャまたは放電キャビティを備える。プロセス・ガスの
プラス・イオンおよび容量結合プラズマからの電子は、
各キャビティに設けた1つまたは複数の出口を通ってそ
こから出て、真空室に入る。
【0014】真空室は、さらに、誘導結合高密度プラズ
マを生成するため、真空室にエネルギーを送出するよう
構成されたプラズマ生成アセンブリを含む。例示的なプ
ラズマ生成アセンブリは、励起コイルに作動自在に接続
するRF電源を含み、これは各キャビティ内で容量結合
プラズマを始動し、保持するためのエネルギーも提供す
ることができる。
【0015】エンクロージャは、例えばプラズマ生成ア
センブリの近傍、または基板支持体の近傍に配置してよ
い。しかし、真空室がプラズマ生成アセンブリから離れ
た位置にある場合、結合エネルギーは各キャビティ内に
容量結合プラズマを生成するのに不十分であるか、励起
コイルを改変する必要があり、これは処理システムをさ
らに複雑にする。これらの場合、プラズマ生成アセンブ
リは、さらに、容量結合プラズマを生成するよう作動可
能な補助電源を組み込むことができる。一つの態様で
は、電極を各エンクロージャのキャビティ内に配置し、
作動自在に補助電源に接続することができる。
【0016】本発明の装置および方法によると、一つの
利点は、追加のプラズマ生成アセンブリを必要とせず
に、各エンクロージャのキャビティで容量結合プラズマ
を開始し、保持できることである。主に処理スペース内
のプロセス・ガスと誘導結合するよう動作可能なプラズ
マ生成アセンブリからのパワーを、プロセス・ガスと容
量結合し、各エンクロージャ内にプラズマを生成するこ
とができる。つまり、エンクロージャは、特定の実施形
態では、電極、追加の電源、または電気的貫通接続を必
要とせずに、プラズマ処理システムの受動要素でよい。
【0017】本発明の装置および方法の別の利点は、各
エンクロージャからイオンおよび電子を放出することに
より、処理スペース内にある誘導結合プラズマの密度分
布を効果的に修正できることであり、その結果、プラズ
マ・プロセスが、改善された一様性を有する結果を達成
する。
【0018】本発明の装置および方法のさらに別の利点
は、処理スペース内で誘導結合プラズマを点火する前
に、各エンクロージャ内で生成した容量結合プラズマか
らの電子およびイオンを提供することにより、処理スペ
ース内で誘導結合プラズマを開始するのに必要な電力を
大幅に低下することである。
【0019】本発明は、遮蔽構造を改造して1つまたは
複数のエンクロージャを追加するだけで、既存の処理室
に組み込むことができる。したがって、1つまたは複数
のエンクロージャを追加しても、処理室の設計が大幅に
変化せず、プラズマ処理が最適化され、上記の背景で述
べた困難が克服される。
【0020】本発明の以上およびその他の利点は、図面
に関する以下の詳細な説明から、さらに容易に明白にな
る。
【0021】
【発明の実施の形態】イオン化物理蒸着(IPVD)用
に構成された、本発明の一つの実施形態によるプラズマ
処理装置10が、図1に概略的に図示されている。装置
10は、室壁14によって境界を画された処理スペース
13を有する真空室12を含む。室12には、10-8
ル以下の超高真空(UHV)まで室12を排気するた
め、真空ポンプ・システム16を設ける。質量流量制御
装置18が、ガス供給源19から室12へのプロセス・
ガスの流量を制御する。適切なプロセス・ガスは、アル
ゴンなどの不活性ガス、または窒素または酸素などの反
応性ガスを含む。手動または自動真空ロードロック(図
示せず)を設けて、室12およびロードロック内に適切
な真空を維持しながら、室12に基板を出し入れするこ
とができる。
【0022】真空室12の一方端には基板支持体20が
位置し、基板バイアス電源22が作動自在にこれに接続
されて、プラズマ処理のために、基板支持体20および
その上に支持された半導体ウェーハなどの1つまたは複
数の基板24にマイナスのバイアスをかける。バイアス
電源22は、インピーダンス整合回路網を通して接続さ
れた直流バイアス電源またはRFバイアス電源を備える
ことができる。
【0023】室12の基板支持体20とは反対側の端部
には、コーティング材料源または陰極アセンブリ26が
位置する。陰極アセンブリ26は、所望のコーティング
材料のリング形標的28を含み、陰極電源30によって
与えられたバイアス電位に作動自在に接続される。電源
30は直流電源であることが好ましいが、適切な整合回
路網(図示せず)を通して標的28に作動自在に接続さ
れたRF電源でもよい。陰極アセンブリ26は、絶縁体
リング34によって、室12の電気的接地表面から絶縁
される。室壁14は通常は接地電位であり、陽極として
働くことができる。暗部遮蔽材32は、プラズマが標的
に隣接する構造が浸食またはスパッタされるのを防止す
る。
【0024】非導電性ウィンドウ40が、陰極アセンブ
リ26の中心開口内に同心円上に設けられ、標的28の
上縁で周方向の密封シールを生成するよう構成される。
ウィンドウ40は、アルミナまたはクォーツ、好ましく
はアルミナなどの真空適合性の誘電材料で構築され、ほ
ぼ平行で対向する平面の表面または面を有する概ね平面
の構造である。
【0025】エレメント42として概略的に図示された
励起コイルが、非導電性ウィンドウ40の外面に隣接し
て、好ましくはウィンドウ40のすぐ近傍に配置され
る。コイル42は、インピーダンス整合回路網46を通
してRF電源44に作動自在に接続される。RF電源4
4は、約200kHzから約80MHzの範囲で作動可
能であり、約100Wから約10kWのRF電力を送出
することができる。ウィンドウ40は非導電性であるの
で、コイル42から放出されるRF磁気エネルギーは、
ウィンドウ40を通過して処理スペース13に入る間、
減衰しない。
【0026】適切な励起コイル42は、例えばOgleに対
して発行された米国特許第4,948,458号、Asht
ianiに対して発行された米国特許第5,669,975
号、およびJosef Brckaの名前でProcess Apparatus and
Method for Improving Plasma Distribution and Perf
ormance in an Inductively Coupled Plasmaと題した1
999年3月26日出願の米国特許出願第09/27
7,526号に記載されているように、アンテナから適
応することができる。詳細については、これら文献を参
照されたい。
【0027】非導電性ウィンドウ40の内面には隣接す
る遮蔽材48はファラデー遮蔽と物理遮蔽との組合せた
働きをする。遮蔽材48は、ウィンドウ40の内面から
少しの距離に装着する。ファラデー遮蔽として、遮蔽材
48は、コイル42と高密度プラズマとの望ましくない
容量結合を減少させながら、励起コイル42からウィン
ドウ40を横切り、処理スペース13に入るエネルギー
の誘導結合を促進する。物理遮蔽として、遮蔽材48
は、標的28の表面上にある任意の点とウィンドウ40
との間の全ての直接的な見通し線をほぼ遮断することに
より、コーティング材料がウィンドウ40に付着するの
を防止する。遮蔽材48は、銅またはアルミなど、導電
性の高い材料で構成することが好ましい。
【0028】遮蔽材48は複数のスロット49を含み、
電気的に接地し、伝導熱流のため、室壁14などの真空
室12内で隣接する構造と良好な熱接触を維持すること
ができる。複数の幾何学的配置のスロット49を構成し
て、遮蔽材48内の渦電流を防止し、RF磁界が遮蔽材
48に浸入できるようにする。
【0029】使用時には、真空ポンプ・システム16で
真空室12をベース真空レベルまで排気する。プロセス
・ガスの流れを、ガス供給源19から室12へと導入す
る。流量制御装置18がガス流を計測し、毎分約5から
約1000標準立方センチメートル(sccm)の流量
および約1から約100mトルの作業圧力を確立する。
電源44を作動させて、整合回路網46を通して励起コ
イル42にRF電力を供給する。高密度1次プラズマを
開始し、その後、非導電性ウィンドウ40および遮蔽材
48を通してコイル42から伝達された誘導結合RFエ
ネルギーによって、処理スペース13内にこれを維持す
る。処理スペースから標的へとプラス・イオンを加速さ
せるため、陰極電源30によってマイナスのバイアス電
位を供給して、十分に高いエネルギーで衝突させ、コー
ティング材料の原子または原子クラスタをスパッタす
る。スパッタしたコーティング材料の輸送は、熱化した
場合は拡散で実行され、材料フラックスは、基板24に
到達するために高密度プラズマを通過しなければならな
い。原子または原子クラスタの一部は、高密度プラズマ
内のイオンおよび電子との衝突による相互作用のため、
イオン化される。基板バイアス電源22によって基板2
4に加えられたマイナスのバイアスが、プラズマから基
板24へとコーティング材料のプラス・イオンを静電気
で引き寄せて配向し、イオンの軌道は主に表面法線に平
行である。
【0030】本発明の原理によると、遮蔽材48の周囲
は、さらに、中空の陽極アセンブリ50を含み、これは
プロセス・ガスのプラス・イオンおよびその中で生成さ
れた容量結合プラズマからの電子を供給するよう作動可
能である。図1、図2Aおよび図3を参照すると、中空
陽極アセンブリ50は、端壁52および対向して概ね平
行な2つの側壁54、56を備え、これは集合的に環状
エンクロージャまたは放電キャビティ58の境界を画定
する。キャビティ58は、ほぼ遮蔽材48の外周に延在
し、これとほぼ同心である。壁52、54および56
は、銅またはアルミなどの電気抵抗が低い材料で構成す
ることが好ましく、遮蔽材48と同じ組成を有してもよ
い。
【0031】引き続き図1、図2Aおよび図3を参照す
ると、中空陽極アセンブリ50は、さらに、周方向にほ
ぼ等間隔で端壁52に穴をあける列状の円筒形出口また
は開口60を含む。各開口60の縦軸は、概ね室12の
縦軸に平行に配向される。処理スペース13内のプロセ
ス・ガスの一部は、各開口60を通して放電キャビティ
58内に自由に拡散することができる。中空陽極アセン
ブリ50は遮蔽材48によって電磁遮蔽されず、したが
ってRFエネルギーが、キャビティ58内に容量結合プ
ラズマを生成するよう、励起コイル42から誘導ウィン
ドウ40を通って容量結合することができる。RF電力
が所定の初期閾値を超えると、キャビティ58内で容量
結合プラズマが開始し、その後はRFエネルギーの容量
結合によって維持される。
【0032】放電キャビティ58内の容量結合プラズマ
からのプラス・イオンおよび電子は、各開口60を通っ
て処理スペース13内に広がる。プラズマ技術の技術分
野でよく知られている物理的現象により、開口60内の
プラズマは、キャビティ58内、または処理スペース1
3の開口60に隣接する部分にあるプラズマより密度が
はるかに高い。電子は、キャビティ58内の容量結合プ
ラズマから、開口60内のプラズマ密度を向上させるた
め、開口60内の容量結合プラズマの部分に向かって加
速し、プロセス・ガス原子の強化されたイオン化を生成
する。プロセス・ガスのプラス・イオンおよび電子は、
開口60から加速し、概ね真空室12のベースに向かっ
て軸方向に配向された初期軌道を有する。
【0033】中空陽極アセンブリ50を軸方向の開口6
0で構成することの利点は、励起コイル42からのRF
電力が、自身内に誘導結合プラズマを維持する閾値より
下まで低下した場合に、キャビティ58内で生成され、
処理スペース13から分離された容量結合プラズマを使
用して、処理スペース13内に低密度プラズマを維持で
きることである。例えば基板の交換作業中は、コイル4
2へのRF電力を低下させなければならず、処理スペー
ス13内の誘導結合プラズマをもはや維持することがで
きない。
【0034】例示により、制限的ではなく、中空陽極キ
ャビティは、放電キャビティ58の半径方向の寸法が約
10から30mm、好ましくは約10mmで、軸方向の
寸法が約20mmになるようなサイズにすることができ
る。各開口60の直径は、放電キャビティ58の寸法お
よび端壁52の厚さに応じて、約1mmから10mmで
よい。中空陽極の口の断面形状は、円形に制限されず、
長方形または他の幾何学的形状でもよい。
【0035】本発明の原理により、図1および図2Aで
説明した構成と同様の参照番号を使用して、図2Bは、
遮蔽材48の周囲に同様に配置された中空陽極アセンブ
リ70の代替実施形態を示す。中空陽極アセンブリ70
は端壁72および2つの側壁74、76を有し、これは
環状エンクロージャまたは放電キャビティ78を画定す
る。側壁76に1つまたは複数の円筒形出口または開口
80を設ける。各開口80の縦軸は、真空室12(図
1)の縦軸に向かって半径方向内側に配向され、概ね円
形の断面輪郭を有する。図1、図2Aおよび図3に示す
他中空陽極アセンブリ50に関して上記で説明したよう
に、容量結合プラズマは、キャビティ78で受けるプロ
セス・ガスから生成される。キャビティ78内の容量結
合プラズマからの電子は、容量結合プラズマの一部の密
度を上昇させるため、加速されて各開口80に入る。プ
ラス・イオンおよび電子は、開口80内の密集したプラ
ズマから膨張して処理スペース13(図1)に入る。開
口80が半径方向に配向されているので、プラス・イオ
ンの初期軌道は、概ね真空室12の縦軸に向かって半径
方向内側に配向され、遮蔽材48の内面に隣接する。
【0036】半径方向に面した開口80で中空陽極アセ
ンブリを構成することの利点は、誘導結合高密度プラズ
マを開始しようとする前に、容量結合プラズマからのイ
オンおよび電子を使用して、処理スペース13内に低密
度プラズマを確立できることである。遮蔽材48の周囲
付近に確立された低密度プラズマが存在すると、誘導結
合プラズマの開始に必要な電力レベルが低下する。
【0037】プラズマは、RF電源44(図1)に非線
形荷重を与え、処理スペース13内で誘導結合プラズマ
を開始するには、大きい初期RF電力レベルが必要であ
る。プラズマは通常、装置10の低いミリトル作業範囲
では、励起コイル42と処理スペース13内のプロセス
・ガスとの誘導結合によって、簡単に開始することがで
きない。誘導結合プラズマを開始するのに必要な閾値R
F電力は、真空12内の真空によって変化するが、典型
的なプラズマ処理システムでは、典型的な作業状態で、
例えば250から500ワットの範囲と測定されてい
る。これに対して、同様の状態で容量結合プラズマを開
始するのに必要な閾値電力は、わずか50から100ワ
ットのオーダーである。したがって、容量結合プラズマ
は、プロセス・スペース13内でRF電力レベルを大幅
に低下させて開始することができる。
【0038】しかし、遮蔽材48の存在で、励起コイル
42と処理スペース13に入るプロセス・ガスとのエネ
ルギーの容量結合が効果的に防止される。中空陽極アセ
ンブリ50または70は、遮蔽されない放電キャビティ
58または78を提供し、ここで容量結合プラズマを低
いRF電力レベルで開始し、維持することができる。プ
ロセス・ガスのプラス・イオン、および中空陽極アセン
ブリ50または70の開口60または80内の容量結合
プラズマから出る電子は、処理スペース13内で低密度
プラズマを確立するのに寄与し、これにより誘導結合プ
ラズマの開始に必要なRF電力レベルを低下させる。
【0039】図4および図4Aは、本発明の原理による
プラズマ処理装置10の代替実施形態を概略的に示す。
図4および図4Aを参照し、他の図に関して検討した機
構と同様の参照番号を使用すると、プラズマ処理システ
ム10は、さらに、真空室12の内部で周方向かつ同心
に配置されたスパッタ遮蔽材88、および室壁14に取
り付けた中空陽極アセンブリ90を含む。スパッタ遮蔽
材88は、処理スペース13内の誘導結合高密度プラズ
マのイオンが室壁14の内面と相互作用するのを防止す
る再生可能な金属バリアである。
【0040】中空陽極アセンブリ90は端壁92、2つ
の側壁94、96およびベース98を有し、これは集合
的に環状クロージャまたは放電キャビティ100の境界
を画す。放電キャビティ100は、真空室12の内部に
周方向に延在する。室12の縦軸に対して、中空陽極ア
センブリ90は基板支持体22および基板24に隣接し
て軸方向に配置される。しかし、中空陽極アセンブリ9
0は、処理スペース13にある他のボリュームのプラズ
マ密度を変更するため、代替位置にあってもよい。
【0041】端壁92に1つまたは複数の円筒形出口ま
たは開口102を設ける。各開口102の縦軸は、室1
2の縦軸に向かって半径方向内側に配向される。処理ス
ペース13に導入されるプロセス・ガスの一部は、各開
口102を通って放電キャビティ100内へと自由に拡
散することができる。
【0042】放電キャビティ100内に電極104を設
ける。電極104は、アルミまたは銅などの導電性材料
で構成され、概ねキャビティ100の軸方向寸法と同一
の軸方向寸法を有する。電極104の半径方向最外表面
とベース98の内面との間に非導電性隔離碍子116を
挟む。隔離碍子116は、電極104を接地ベース98
から電気的に絶縁するため、アルミナなどの電気抵抗が
高い適切な誘電材料で構成する。
【0043】補助電源110は、電気的フィードスルー
112を介して電極104と作動自在に接続され、これ
は室壁14とベース98に設けてある。補助電源110
は、RF電源からのRF電力入力を分割して、送電路
(図示せず)を介して電極104に通電し、さらに上述
したように送電路114を介して励起コイル42に通電
する電力分割器(図示せず)を構成してもよい。電極1
04に供給された電力は、容量結合プラズマを生成する
ため、放電キャビティ100内のプロセス・ガスと容量
結合する。
【0044】代替実施形態では、補助電源110はRF
電源44から完全に独立し、電極104と作動自在に接
続する別個の電源(図示せず)を備えてもよい。直流電
源または独立した高周波(RF)電源が、補助電源11
0として使用するのに適切である。
【0045】使用時には、電極104は、放電キャビテ
ィ100内の容量結合プラズマを点火するのに十分な電
力レベルで、補助電源110から通電される。電極は、
キャビティ100の容量結合プラズマから各開口102
へと引き寄せられ、したがって開口102内のプラズマ
密度を上昇させる。電子およびプラス・イオンは、開口
102から処理スペース13へと拡張する。各開口10
2を出るプラス・イオンおよび電子の初期軌道は、概ね
真空室12の縦軸に向かって半径方向内側に配向され、
基板24の周囲に隣接した位置で処理スペース13内の
高密度プラズマの密度を上昇させるよう働く。
【0046】中空陽極アセンブリ70を基板支持体22
に隣接して配置することの利点は、容量結合プラズマか
ら生じて開口を通って流れ、処理スペース13に入るプ
ラス・イオンおよび電子が、基板24の周囲付近でプラ
ズマの密度を上昇させることができることである。処理
スペース13内のプラズマ密度の一様性は、真空室12
の幾何学的形状から影響を受け、したがって密度は室1
2の縦軸の周囲でピークになり、室壁14付近で低下す
る。特に、室壁14が基板支持体22に近接すると、基
板24付近におけるプラズマ密度の半径方向の一様性に
悪影響を与える。プラズマ密度の低下は、壁に最も近い
基板24の周囲で最大である。したがって、中空陽極ア
センブリ70からのプラス・イオンおよび電子は、一様
でないプラズマ密度を都合良く補償することができ、し
たがってより一様な厚さおよびその表面の半径方向でよ
り一様なステップ・カバレージを有するコーティング材
料の薄膜が、基板24に蒸着する。さらに、スパッタお
よび表面調整作業は、プラズマの一様性が向上したた
め、基板24の表面にわたって、半径方向により一様に
なる。
【0047】図5を参照すると、本発明の代替実施形態
では、中空陽極アセンブリ120は面板124、および
室壁14と一体で設けるか、それに埋め込んだキャビテ
ィ126を含む。面板124は、キャビティ126の半
径方向内側の周に隣接する窪んだレッジ128、130
で受ける。面板124は、列状のガス出口または円筒形
開口132で穴をあけ、これはプラス・イオンおよび電
子を対称に注入するよう、面板124の周および幅に隔
置される。中空陽極アセンブリ120は主に、図1のよ
うに真空室12に適用するよう意図され、これは図4に
示すスパッタ遮蔽88がない。開口132の形状は円形
に制限されず、長方形またはスロットなどの他の形状で
もよいことが理解される。
【0048】プロセス・ガスから容量結合プラズマを生
成するよう、補助電源110からの励起電力を受けるた
めに、放電キャビティ126に電極132を設ける。電
極134は、網にまたは銅などの導電性材料で構成し、
放電キャビティ126の軸方向寸法とほぼ同一である軸
方向寸法を有する。非導電性絶縁碍子136を、電極1
34と室壁14の間に挟む。絶縁碍子136は、電極1
34を室壁134から電気的に隔離するため、アルミナ
などの高い電気抵抗を有する適切な誘電材料で構成す
る。室壁14および面板124は、第2電極を形成する
よう、電気的に接地される。補助電源110からの電力
で電極134に通電することにより、キャビティ126
内で容量結合プラズマを開始すると、容量結合プラズマ
からの電子が各開口132内に引きつけられる。その結
果、プラズマ密度は開口132で上昇し、その密なプラ
ズマからのプラス・イオンおよび電子が処理スペース1
3内に広がる。
【0049】図5Aを参照し、図5で説明した構成と同
様の参照番号を使用すると、中空陽極アセンブリ120
は代替的に、放出キャビティ126内に配置されて、作
動自在に補助電源110と接続する電極140を含むこ
とができる。電極140はU字形の断面輪郭を有し、キ
ャビティ126の周に延在する。電極140は、概ね真
空室12の縦軸に平行に配向されたベース142を含
む。2つの一体側壁144、146が、ベース142の
対向する端部から半径方向内側へと、図4に示す真空室
12の中心縦軸に向かって延在する。電極140は、ア
ルミまたは銅などの導電性材料で構成される。非導電性
のU字形絶縁碍子146を電極140と室壁140の間
に挟む。絶縁碍子146は、電極140を室壁14から
電気的に隔離するため、アルミナなどの高い抵抗を有す
る適切な誘電材料で構成する。
【0050】この電極140のU字形設計は、図5に示
す電極134と比較して、開口132を通って高密度プ
ラズマから到着する金属イオンの蒸着をよりよく許容す
る。電極140のU字形はまた、電子をキャビティ12
6の中心に都合良く集束させ、ここに開口132が配置
され、プロセス・ガスのイオン化の効率を上昇させる。
【0051】図5Bを参照し、図5Aで説明した構成の
参照番号を使用すると、中空陽極アセンブリ120の別
の実施形態は、複数の等間隔のスロット150の周囲に
延在し、処理スペース13(図3)との流体連絡のため
放電キャビティ126と接続する面板148を含む。ス
ロット150は、板148の周に延在し、これは窪んだ
レッジ128、130上で受ける。容量結合プラズマが
キャビティ126およびスロット150内で生成される
と、スロット150内のプラズマからの電子およびプラ
ス・イオンがプロセス・スペース130に注入される。
【0052】電極104、134または140を、それ
ぞれに補助電源110からの励起電力を提供した複数の
区画に配置してよいことが理解される。各区画は、別個
の補助電源または1つの補助電源から分割した電力で通
電される。さらに、プラズマ処理の当業者には、キャビ
ティ100および126を複数の個々のサブキャビティ
に配置することができ、1つまたは複数のサブキャビテ
ィに、関連の補助電源110から通電された電極10
4、134または140などの別個の電極を設けること
ができることも理解される。
【0053】補助電源110をRF電源44から切り離
した場合、中空陽極アセンブリ90または120は、処
理スペース13内の高密度プラズマがコイル42からの
RF電力の誘導結合によって生成されないプラズマ処理
システムに使用するのに適している。例えば、高密度プ
ラズマは、マイクロ波電源、または従来の平面電極また
は反応性イオン・エッチング・システムのような1対の
板電極によって、処理スペース13内に生成することが
できる。
【0054】本発明を、その幾つかの実施形態を説明す
ることによって例示し、これらの実施形態を非常に詳細
に説明してきたが、これはいかなる意味でも添付請求の
範囲をこのような詳細に限定するものではない。追加の
利点および改造が、当業者には容易に明白である。した
がって、本発明は、そのより広い態様では、特定の詳
細、および図示し説明した代表的装置および方法に制限
されない。例えば、本発明の中空陽極アセンブリの用途
に関する説明は、誘導結合プラズマ蒸着システムに関し
て与えられているが、中空陽極アセンブリの使用はこの
ようには制限されず、プラズマ・エッチングまたは反応
性イオン・エッチングなど、当技術分野で知られている
ような他の材料処理用途にも使用することができる。し
たがって、出願人の一般的な本発明の概念の範囲または
精神から逸脱することなく、このような詳細から逸脱す
ることができる。
【図面の簡単な説明】
【図1】本発明の原理による中空陽極アセンブリを組み
込んだプラズマ処理装置の断面図である。
【図2A】図1の中空陽極アセンブリの実施形態を示す
拡大部分断面図である。
【図2B】図1の中空陽極アセンブリの代替実施形態を
示す図2Aと同様の拡大部分断面図である。
【図3】図2Aの線3−3に沿って切り取った遮蔽材お
よび中空陽極アセンブリの断面平面図である。
【図4】本発明の原理による中空陽極アセンブリの代替
実施形態を組み込んだプラズマ処理装置の、図1と同様
の断面概略図である。
【図4A】図4の円で囲んだ区域「4A」の拡大図であ
る。
【図5】図4に示したものと同様の中空陽極アセンブリ
の代替実施形態を示す部分断面図である。
【図5A】中空陽極アセンブリの代替実施形態を示す、
図5と同様の拡大部分断面図である。
【図5B】中空陽極アセンブリの代替実施形態を示す、
図5Aと同様の拡大部分断面図である。
【符号の説明】
10 プラズマ処理装置 12 真空室 13 処理スペース 14 室壁 16 室壁 16 真空ポンプ・システム 18 質量流量制御装置 19 ガス供給源 20 基板支持体 22 電源 24 基板 26 陰極アセンブリ 28 標的 30 電源 32 遮蔽材 34 絶縁材リング 40 ウィンドウ 42 励起コイル 44 RF電源 46 インピーダンス整合回路網 48 遮蔽材 49 スロット 50 中空陽極アセンブリ 52 端壁 54 側壁 56 側壁 58 キャビティ 60 開口 70 中空陽極アセンブリ 72 端壁 74 側壁 76 側壁 78 放電キャビティ 80 開口 88 スパッタ遮蔽材 90 中空陽極アセンブリ 92 端壁 94 側壁 96 側壁 98 ベース 100 放電キャビティ 102 開口 104 電極 110 補助電源 112 電気的フィードスルー 114 送電路 116 絶縁碍子 120 中空陽極アセンブリ 124 面板 126 キャビティ 128 レッジ 130 レッジ 132 開口 134 電極 140 電極 144 側壁 146 側壁 150 スロット
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジョゼフ ブルカ アメリカ合衆国 アリゾナ、ギルバート、 ダブリュ、ローレル アベニュ 914 Fターム(参考) 4K029 BD01 CA05 CA06 CA13 DC12 DC29 EA06 5F103 AA02 BB09 RR01

Claims (27)

    【特許請求の範囲】
  1. 【請求項1】 プラズマで基板を処理する装置であっ
    て、 自身内に処理スペースを画定し、中心の縦軸を有する室
    壁を有する真空室と、 基板を支持するために処理スペースに配置された基板支
    持体と、 真空室と流体連絡するプロセス・ガス供給源とを備え、
    前記ガス供給源は、選択的にプロセス・ガスを前記処理
    スペースに提供し、さらに、 処理スペース内のプロセス・ガスを励起してプラズマを
    生成するよう作動可能なプラズマ生成アセンブリと、 前記真空室内に配置されたエンクロージャとを備え、前
    記エンクロージャが、プラズマ生成アセンブリからの容
    量結合エネルギーによって維持されるプラズマの一部を
    含むよう作動可能な少なくとも1つのキャビティを画定
    するよう構成され、エンクロージャが、前記処理スペー
    スと流体連絡するよう構成された少なくとも1つの出口
    を含み、したがって各キャビティおよび各出口内の容量
    結合プラズマが処理スペースに入ることができる装置。
  2. 【請求項2】 エンクロージャが前記プラズマ処理アセ
    ンブリに隣接して配置される、請求項1に記載の装置。
  3. 【請求項3】 少なくとも1つの出口が、真空室の中心
    縦軸に向かって半径方向内側に配向される、請求項2に
    記載の装置。
  4. 【請求項4】 少なくとも1つの出口が、真空室の中心
    縦軸に平行に配向される、請求項2に記載の装置。
  5. 【請求項5】 少なくとも1つのエンクロージャが、前
    記基板支持体に隣接して配置される、請求項1に記載の
    装置。
  6. 【請求項6】 少なくとも1つのエンクロージャが、真
    空室の内側の周囲に配置される、請求項1に記載の装
    置。
  7. 【請求項7】 前記プラズマ生成アセンブリが、さら
    に、エンクロージャの各キャビティ内のプロセス・ガス
    を励起するよう構成された補助プラズマ生成アセンブリ
    を備える、請求項1に記載の装置。
  8. 【請求項8】 前記補助プラズマ生成アセンブリが、エ
    ンクロージャの各キャビティ内に配置された電極、およ
    びプロセス・ガスを励起してキャビティ内に容量結合プ
    ラズマを生成するため、前記電極に作動自在に接続され
    た補助電源を備える、請求項7に記載の装置。
  9. 【請求項9】 前記補助電源が、前記電極と作動自在に
    接続する補助高周波電源を備える、請求項8に記載の装
    置。
  10. 【請求項10】 前記補助電源が、前記電極と作動自在
    に接続する直流電源を備える、請求項8に記載の装置。
  11. 【請求項11】 前記補助電源が、プラズマ生成アセン
    ブリからの高周波電力の一部を再配向するインピーダン
    ス整合電力分割器を備え、前記電力分割器が前記電極と
    作動自在に接続する、請求項8に記載の装置。
  12. 【請求項12】 前記電極がU字形の断面輪郭を有す
    る、請求項8に記載の装置。
  13. 【請求項13】 プラズマ生成アセンブリが、 高周波電源と、 高周波電源と作動自在に接続し、プロセス・ガスを励起
    して処理スペース内に誘導結合プラズマを生成するよ
    う、真空室に隣接して配置される励起コイルとを備え
    る、請求項1に記載の装置。
  14. 【請求項14】 さらに、前記室壁に配置された誘電ウ
    ィンドウを備え、前記励起コイルが前記誘電ウィンドウ
    に隣接して配置される、請求項13に記載の装置。
  15. 【請求項15】 さらに、真空室の内側で誘電ウィンド
    ウと処理スペースとの間に配置された遮蔽材を備え、遮
    蔽材が、励起コイルから処理スペースへの高周波電力の
    効果的な結合を可能にするよう構成される、請求項14
    に記載の装置。
  16. 【請求項16】 少なくとも1つのエンクロージャが前
    記遮蔽材に取り付けられる、請求項15に記載の装置。
  17. 【請求項17】 さらに、コーティング材料で構成され
    た標的を含むコーティング材料源、および前記標的から
    のコーティング材料をスパッタするよう、標的に隣接し
    て処理スペース内にプラズマの一部を閉じ込めるよう構
    成される陰極アセンブリを備え、前記遮蔽材が、さら
    に、処理スペース内に存在するプラズマから誘電ウィン
    ドウを物理遮蔽するよう構成される、請求項16に記載
    の装置。
  18. 【請求項18】 少なくとも1つの出口が、真空室の中
    心縦軸に向かって半径方向内側に配向される、請求項1
    7に記載の装置。
  19. 【請求項19】 少なくとも1つの出口が、真空室の中
    心縦軸に平行に配向される、請求項17に記載の装置。
  20. 【請求項20】 イオン化物理蒸着装置であって、 内部に処理スペースを画定する室壁を有する真空室と、 基板を支持するために処理スペース内に配置された基板
    支持体と、 真空室と流体連絡するプロセス・ガス供給源とを備え、
    前記ガス供給源が前記処理スペースにプロセス・ガスを
    選択的に提供し、さらに、 処理スペースの基板支持体とは反対側に配置されたコー
    ティング材料の源と、前記室壁に配置された誘電ウィン
    ドウと、 誘電ウィンドウに隣接して配置された励起コイルと、 通電された場合に、処理スペース内に誘導結合プラズマ
    を形成するため、真空室への高周波電力を誘電結合する
    よう、励起コイルに作動自在に接続された高周波電源
    と、 前記真空室内に配置されたエンクロージャとを備え、 前記エンクロージャが、励起コイルからの容量結合エネ
    ルギーによって維持されるプラズマの一部を収容すべく
    作動可能な少なくとも1つのキャビティを画定するよう
    構成され、エンクロージャが、前記処理スペースと流体
    連絡するよう構成された少なくとも1つの出口を含み、
    したがって、各キャビティおよび各出口の容量結合プラ
    ズマが前記処理スペースに入ることができるイオン化物
    理蒸着装置。
  21. 【請求項21】 さらに、真空室の内部で誘電ウィンド
    ウと処理スペースとの間に遮蔽材を備え、遮蔽材が、励
    起コイルから処理スペースへの高周波電力の効果的な結
    合を可能にし、誘電ウィンドウが処理スペースのプラズ
    マと相互作用しないよう物理遮蔽するよう構成される、
    請求項20に記載のイオン化物理蒸着装置。
  22. 【請求項22】 エンクロージャが前記遮蔽材に取り付
    けられる、請求項21に記載のイオン化物理蒸着装置。
  23. 【請求項23】 コーティング材料源が、コーティング
    材料と、標的からのコーティング材料をスパッタするよ
    う標的に隣接する処理スペース内にプラズマの一部を制
    約するよう構成される陰極アセンブリとを備える、請求
    項21に記載のイオン化物理蒸着装置。
  24. 【請求項24】 プラズマ処理装置のプラズマの分布を
    向上させる方法であって、 真空室内にプロセス・ガスを設けるステップを含み、前
    記真空室は、内部に処理スペースを画定する室壁を有
    し、さらに、 プロセス・ガスを励起して処理スペース内にプラズマを
    生成するよう作動可能な、プラズマ生成アセンブリを設
    けるステップと、 前記真空室内に配置されたエンクロージャを設けるステ
    ップとを含み、エンクロージャは、プラズマ生成アセン
    ブリからの容量結合エネルギーによって維持されるプラ
    ズマの一部を収容するべく作動可能な少なくとも1つの
    キャビティを画定するよう構成され、エンクロージャ
    が、前記処理スペースと流体連絡するよう構成された少
    なくとも1つの出口を含み、さらに、 エンクロージャの各キャビティおよび各出口に容量結合
    プラズマを生成するため、前記プラズマ生成アセンブリ
    に通電するステップと、 容量結合プラズマからのイオンおよび電子を、各出口を
    通して前記処理スペースへと送出するステップとを含む
    方法。
  25. 【請求項25】 さらに、イオンを放出するステップの
    後、真空室の処理スペース内に誘導結合プラズマを生成
    するため、前記プラズマ生成アセンブリに通電するステ
    ップを含む、請求項24に記載の方法。
  26. 【請求項26】 さらに、真空室の室壁に誘電ウィンド
    ウを設けるステップを含む、プラズマ生成アセンブリを
    設けるステップが、さらに、 前記ウィンドウに隣接
    して配置された励起コイルを設けるサブステップと、 ウィンドウを通して高周波電力を処理スペース内のプロ
    セス・ガスと誘導結合し、高周波電力を少なくとも1つ
    のエンクロージャ内のプロセス・ガスと容量結合するた
    め、前記励起コイルと作動自在に接続する高周波電源を
    設けるサブステップとを含む、請求項24に記載の方
    法。
  27. 【請求項27】 プラズマで基板を処理する装置であっ
    て、 処理スペースを有する真空室を備え、前記処理スペース
    がプロセス・ガスで充填され、さらに、 プロセス・ガスを励起してプラズマを生成する手段を備
    え、前記プラズマ励起手段が、真空室の処理スペース内
    に誘導結合プラズマを維持するよう選択的に作動可能で
    あり、さらに、 真空室内に配置されたエンクロージャを備え、前記エン
    クロージャが、プラズマ生成手段からの容量結合エネル
    ギーによって生成されたプラズマの一部を収容すべく作
    動可能な少なくとも1つのキャビティを画定するよう構
    成され、エンクロージャが、前記処理スペースと流体連
    絡するよう構成された少なくとも1つの出口を含み、し
    たがって各キャビティおよび各出口の容量結合プラズマ
    が処理スペースに入ることができる装置。
JP2001247604A 2000-08-18 2001-08-17 プラズマ密度改良のための埋込み式プラズマ源 Pending JP2002173768A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/642,446 US6446572B1 (en) 2000-08-18 2000-08-18 Embedded plasma source for plasma density improvement
US642446 2006-12-20

Publications (1)

Publication Number Publication Date
JP2002173768A true JP2002173768A (ja) 2002-06-21

Family

ID=24576589

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001247604A Pending JP2002173768A (ja) 2000-08-18 2001-08-17 プラズマ密度改良のための埋込み式プラズマ源

Country Status (2)

Country Link
US (1) US6446572B1 (ja)
JP (1) JP2002173768A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007046160A (ja) * 2005-08-03 2007-02-22 Tokyo Electron Ltd プラズマ処理方法および装置におけるセグメント化されてバイアスされる周縁電極
JP2007516346A (ja) * 2003-06-09 2007-06-21 東京エレクトロン株式会社 金属のイオン物理蒸着におけるスパッタリングソース
CN101842868B (zh) * 2007-11-01 2012-12-26 欧瑞康贸易股份公司(特吕巴赫) 制造经处理表面的方法和真空等离子体源
WO2024049616A1 (en) * 2022-09-02 2024-03-07 Mks Instruments, Inc. Method and apparatus for plasma generation

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6706142B2 (en) * 2000-11-30 2004-03-16 Mattson Technology, Inc. Systems and methods for enhancing plasma processing of a semiconductor substrate
US20020122896A1 (en) * 2001-03-02 2002-09-05 Skion Corporation Capillary discharge plasma apparatus and method for surface treatment using the same
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US20050121143A1 (en) * 2002-05-23 2005-06-09 Lam Research Corporation Pump baffle and screen to improve etch uniformity
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
EP1554412B1 (en) * 2002-09-19 2013-08-14 General Plasma, Inc. Plasma enhanced chemical vapor deposition apparatus
US7411352B2 (en) * 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
WO2005104203A1 (ja) * 2004-03-31 2005-11-03 Fujitsu Limited 基板処理装置および半導体装置の製造方法
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US8251012B2 (en) * 2005-03-01 2012-08-28 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
JPWO2007111348A1 (ja) * 2006-03-28 2009-08-13 株式会社日立国際電気 基板処理装置
US7850828B2 (en) * 2006-09-15 2010-12-14 Cardinal Cg Company Enhanced virtual anode
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) * 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
EP2283510B1 (en) * 2008-05-02 2013-01-23 Oerlikon Solar AG, Trübbach Plasma treatment apparatus and method for plasma-assisted treatment of substrates
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TW201202463A (en) * 2010-06-09 2012-01-16 Intevac Inc Full-enclosure, controlled-flow mini-environment for thin film chambers
KR101693673B1 (ko) * 2010-06-23 2017-01-09 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
JP5702968B2 (ja) * 2010-08-11 2015-04-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ制御方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140273538A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Non-ambipolar electric pressure plasma uniformity control
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9934974B2 (en) * 2013-06-19 2018-04-03 Tokyo Electron Limited Microwave plasma device
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
WO2016126650A1 (en) 2015-02-03 2016-08-11 Cardinal Cg Company Sputtering apparatus including gas distribution system
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10354841B2 (en) * 2015-04-07 2019-07-16 Tokyo Electron Limited Plasma generation and control using a DC ring
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9799491B2 (en) * 2015-10-29 2017-10-24 Applied Materials, Inc. Low electron temperature etch chamber with independent control over plasma density, radical composition and ion energy for atomic precision etching
US20170140900A1 (en) * 2015-11-13 2017-05-18 Applied Materials, Inc. Uniform low electron temperature plasma source with reduced wafer charging and independent control over radical composition
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7433271B2 (ja) * 2020-04-27 2024-02-19 東京エレクトロン株式会社 基板処理装置および基板処理装置の制御方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000226655A (ja) * 1999-02-02 2000-08-15 Matsushita Electric Ind Co Ltd スパッタリング装置
JP2000243707A (ja) * 1999-02-23 2000-09-08 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2001093881A (ja) * 1999-09-20 2001-04-06 Kobe Steel Ltd プラズマ処理装置
JP2001237099A (ja) * 2000-02-23 2001-08-31 Kobe Steel Ltd プラズマ処理装置
JP2001244089A (ja) * 2000-02-28 2001-09-07 Mitsubishi Electric Corp 放電灯点灯装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
DD274830A1 (de) * 1988-08-12 1990-01-03 Elektromat Veb Vorrichtung zur gasphasenbearbeitung von scheibenfoermigen werkstuecken
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
DE4039930A1 (de) * 1990-12-14 1992-06-17 Leybold Ag Vorrichtung fuer plasmabehandlung
US5989838A (en) * 1992-03-11 1999-11-23 Institute Of Virology, Slovak Academy Of Sciences Immunological methods of detecting MN proteins and MN polypeptides
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5449432A (en) 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5468296A (en) 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US5669975A (en) 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5800688A (en) 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6080287A (en) 1998-05-06 2000-06-27 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6237526B1 (en) * 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000226655A (ja) * 1999-02-02 2000-08-15 Matsushita Electric Ind Co Ltd スパッタリング装置
JP2000243707A (ja) * 1999-02-23 2000-09-08 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2001093881A (ja) * 1999-09-20 2001-04-06 Kobe Steel Ltd プラズマ処理装置
JP2001237099A (ja) * 2000-02-23 2001-08-31 Kobe Steel Ltd プラズマ処理装置
JP2001244089A (ja) * 2000-02-28 2001-09-07 Mitsubishi Electric Corp 放電灯点灯装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007516346A (ja) * 2003-06-09 2007-06-21 東京エレクトロン株式会社 金属のイオン物理蒸着におけるスパッタリングソース
JP2007046160A (ja) * 2005-08-03 2007-02-22 Tokyo Electron Ltd プラズマ処理方法および装置におけるセグメント化されてバイアスされる周縁電極
CN101842868B (zh) * 2007-11-01 2012-12-26 欧瑞康贸易股份公司(特吕巴赫) 制造经处理表面的方法和真空等离子体源
WO2024049616A1 (en) * 2022-09-02 2024-03-07 Mks Instruments, Inc. Method and apparatus for plasma generation

Also Published As

Publication number Publication date
US6446572B1 (en) 2002-09-10

Similar Documents

Publication Publication Date Title
US6446572B1 (en) Embedded plasma source for plasma density improvement
KR100437956B1 (ko) 이온화된 물리적 증착 방법 및 장치
US6197165B1 (en) Method and apparatus for ionized physical vapor deposition
KR100322330B1 (ko) 재료의 이온 스퍼터링 방법 및 장치
KR101376671B1 (ko) 물리 기상 증착 반응기
US5948215A (en) Method and apparatus for ionized sputtering
US6056848A (en) Thin film electrostatic shield for inductive plasma processing
US6238528B1 (en) Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US5032205A (en) Plasma etching apparatus with surface magnetic fields
US6238537B1 (en) Ion assisted deposition source
JP4588212B2 (ja) 重複端部を有するコイルを備えるスパッタリング装置
JP3737363B2 (ja) 不均一性補償を伴う表面の物理的気相処理
JP2002530531A (ja) イオン化物理蒸着のための方法および装置
JPH0641739A (ja) 高真空・高速イオン処理装置
JP4408987B2 (ja) スパッタ処理応用のプラズマ処理装置
WO2000003055A1 (en) Shield for ionized physical vapor deposition apparatus
JP2000243707A (ja) プラズマ処理方法及び装置
KR20070097298A (ko) 타깃에 인가되는 rf 소스 파워에 의한 물리 기상 증착플라즈마 반응기
JP2777657B2 (ja) プラズマ付着装置
JPH1174251A (ja) プラズマ処理方法及び装置
JPH02257625A (ja) 表面処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080812

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20101221

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20101221

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110218

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110708