KR101124938B1 - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR101124938B1
KR101124938B1 KR1020090024130A KR20090024130A KR101124938B1 KR 101124938 B1 KR101124938 B1 KR 101124938B1 KR 1020090024130 A KR1020090024130 A KR 1020090024130A KR 20090024130 A KR20090024130 A KR 20090024130A KR 101124938 B1 KR101124938 B1 KR 101124938B1
Authority
KR
South Korea
Prior art keywords
high frequency
electrode
plasma
lower electrode
processing apparatus
Prior art date
Application number
KR1020090024130A
Other languages
English (en)
Other versions
KR20090101129A (ko
Inventor
다츠오 마츠도
신지 히모리
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090101129A publication Critical patent/KR20090101129A/ko
Application granted granted Critical
Publication of KR101124938B1 publication Critical patent/KR101124938B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 넓은 RF 주파수 영역 또한 넓은 RF 파워 영역에서 플라즈마 프로세스의 면내 균일성을 향상시키는 플라즈마 처리 장치를 제공하는 것을 목적으로 한다. 챔버(10)내에는 반도체 웨이퍼(W)를 탑재하는 서셉터(하부 전극)(12)와 상부 전극(38)이 평행하게 대향해서 배치된다. 서셉터(12)에는 제 1 고주파 전원(32)으로부터 플라즈마 생성용의 제 1 고주파가 인가된다. 서셉터(12)의 측면과 상면 주변부(에지부)는 유전체(16)를 사이에 두고, 챔버(10)의 바닥벽으로부터 수직 위쪽으로 연장하는 RF 접지부재(18)로 덮여 있다. 배기로(20)의 상부에 부착되는 배기 링(22)의 위에 핀 부재(25)가 마련된다.

Description

플라즈마 처리 장치 {PLASMA PROCESSING APPARATUS}
본 발명은 피처리 기판에 플라즈마(plasma) 처리를 실시하는 기술에 관한 것으로서, 특히 용량 결합형의 플라즈마 처리 장치에 관한 것이다.
반도체 디바이스나 FPD(Flat Panel Display)의 제조 프로세스에 있어서의 에칭, 퇴적, 산화, 스퍼터링(sputtering) 등의 처리에서는 처리 가스를 비교적 저온에서 양호하게 반응시키기 위해 플라즈마가 흔히 이용되고 있다. 종래부터, 낱장식의 플라즈마 처리 장치에서는 대구경(大口徑) 플라즈마를 용이하게 실현할 수 있는 용량 결합형의 플라즈마 처리 장치가 주류가 되어 있다.
일반적으로, 용량 결합형의 플라즈마 처리 장치는 진공 챔버(chamber)로서 구성되는 처리용기내에 상부 전극과 하부 전극을 평행하게 배치하고, 하부 전극의 위에 피처리 기판(반도체 웨이퍼(wafer), 유리 기판 등)을 탑재하고, 양 전극간에 고주파를 인가한다. 그러면, 양 전극간에서 고주파 전계(電界)에 의해서 가속된 전자, 전극으로부터 방출된 전자, 또는 가열된 전자가 처리 가스의 분자와 전리(電離) 충돌을 일으켜, 처리 가스의 플라즈마가 발생하고, 플라즈마중의 래디 컬(radical)이나 이온에 의해서 기판 표면에 원하는 미세 가공 예를 들면 에칭 가공이 실시된다.
여기서, 고주파를 인가받는 측의 전극은 정합기내의 블로킹 캐패시터(blocking capacitor)를 거쳐서 고주파 전원에 접속되기 때문에, 캐소드(cathod)(음극)로서 작용한다. 기판을 탑재하는 하부 전극에 고주파를 인가하고 이것을 캐소드로 하는 캐소드 커플 방식은 하부 전극에 생기는 자기(自己) 바이어스(bias) 전압을 이용해서 플라즈마중의 이온을 기판에 대략 수직으로 인입하는 것에 의해, 방향성이 우수한 이방성 에칭을 가능하게 하고 있다.
그런데, 근래, 반도체 디바이스 등의 제조 프로세스에 있어서의 디자인 룰(design rule)이 점점 미세화되고, 특히 플라즈마 에칭(etching)에서는 더욱 높은 치수 정밀도가 요구되고 있으며, 에칭에 있어서의 마스크나 하지(下地)에 대한 선택비나 면내 균일성을 더욱 높게 하는 것이 요구되고 있다. 그 때문에, 챔버내의 프로세스 영역의 저압력화, 저이온 에너지화가 지향되고, 그 때문에 40㎒ 이상과 같은 종래보다도 현격히 높은 주파수의 고주파가 이용되고 있다.
여기서 문제로 되는 것은 챔버의 처리공간내(특히 반경 방향)에서 플라즈마 밀도를 균일하게 하는 것이 곤란하게 되는 것이다. 즉, 플라즈마 생성용 고주파의 주파수가 높아지면, 챔버내에 정재파(定在波)가 형성되는 파장(波長) 효과나 전극 표면에서 고주파가 중심부에 집중하는 표피(表皮) 효과 등에 의해서, 대체로 기판상에서 중심부가 극대(極大)로 되고 에지(edge)부가 가장 낮아지는 바와 같은 산(山)형의 프로파일로 플라즈마의 밀도가 불균일하게 된다. 기판상에서 플라즈마 밀 도가 불균일하면, 플라즈마 프로세스(process)도 불균일하게 되어, 디바이스(device)의 제조 양품률은 저하한다.
이러한 문제에 대해서는 지금까지도 전극 구조에 각종 연구가 시도되고 있다. 예를 들면, 특허문헌 1에 개시되는 플라즈마 처리 장치는 처리공간과 대향하는 전극의 주면에 유전체(誘電體)를 매립하고, 전극 주면으로부터 처리공간에 방사되는 고주파에 대한 임피던스(impedance)를 상대적으로 전극 중심부에서 크고 전극 에지부에서 작아지도록 해서, 플라즈마 밀도 분포의 균일성을 향상시키도록 하고 있다.
(특허문헌 1) 일본국 특허공개공보 제2004-363552호
소정의 주파수 범위에 있어서, 상기와 같이 전극의 주면에 유전체를 매립하는 방법은 피처리 기판상의 플라즈마 밀도 분포가 기판 중심부에서 가장 높고 기판 에지부를 향해 점차 낮아지는 바와 같은 산형의 프로파일을 플랫(flat)(균일)화하는 것에 유효하다. 그러나, 사용하는 RF 주파수를 점점 높게 해 가면, 그것에 비례해서 플라즈마 밀도 분포의 변동 폭(산형 분포의 고저차)도 커지고, 플랫화하는 것이 곤란하게 된다. 또한, 캐소드 커플 방식의 플라즈마 처리 장치에 있어서, 한층 번거로운 것은 RF 주파수가 대체로 80㎒를 넘으면, 임의의 RF파워 영역에서의 RF파워에 의해 생성된 플라즈마 밀도 분포가 기판상의 중심부와 에지부가 높고 중간부가 쑥 들어가는 W형의 프로파일을 나타내게 된다. 이러한 W형의 프로파일은 산형 프로파일을 플랫화하는 방법에서는 다룰 수 없다.
본 발명은 이러한 종래 기술의 문제점을 감안해서 이루어진 것으로서, 넓은 RF 주파수 영역 또한 넓은 RF파워 영역에서 플라즈마 프로세스의 면내 균일성을 개선할 수 있도록 한 플라즈마 처리 장치를 제공하는 것을 목적으로 한다.
상기의 목적을 달성하기 위해, 본 발명의 제 1 관점에 있어서의 플라즈마 처리 장치는 진공 배기 가능한 처리용기와, 상기 처리용기내에서 피처리 기판을 탑재하는 하부 전극과, 상기 처리용기내에서 상기 하부 전극과 평행하게 대향하는 상부 전극과, 상기 상부 전극과 상기 하부 전극의 사이의 처리공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와, 고주파 방전에 의해서 상기 처리 가스의 플라즈마를 생성하기 위한 제 1 고주파를 상기 하부 전극 또는 상기 상부 전극에 인가하는 제 1 고주파 급전부와, 상기 고주파가 인가된 전극의 주변부에서 반경 방향 외측을 향해 방출되는 고주파를 받아들여 접지 라인으로 보내기 위해 상기 고주파가 인가된 전극의 주변부를 덮는 도전성의 고주파 접지부재를 갖는다.
또한, 바람직하게는, 상기 고주파가 인가된 전극이 하부 전극이고, 이 경우, 상기의 장치 구성에 있어서는, 제 1 고주파 급전부로부터의 제 1 고주파가 하부 전극의 표층을 타고 전극 주면(상면)에 돌아 들어갈 때에, 그 일부가 전극 상면의 주변부로부터 밖으로 방사되어도, 고주파 접지부재가 그것을 받아들여 접지 라인으로 보내므로, 처리 가스의 방전 즉 플라즈마 생성에 기여하는 일은 없다. 이것에 의해, 처리공간내의 플라즈마 생성 영역을 피처리 기판의 바로 위 및 그 부근에 한정하고, 기판상의 플라즈마 밀도 분포의 프로파일(profile)을 안정화시킬 수 있다.
본 발명의 제 2 관점에 있어서의 플라즈마 처리 장치는 진공 배기 가능한 처리용기와, 상기 처리용기내에서 피처리 기판을 탑재하는 하부 전극과, 상기 처리용기내에서 상기 하부 전극과 평행하게 대향하는 상부 전극과, 상기 상부 전극과 상기 하부 전극의 사이의 처리공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와, 고주파 방전에 의해서 상기 처리 가스의 플라즈마를 생성하기 위한 제 1 고주파를 상기 하부 전극 또는 상기 상부 전극에 인가하는 제 1 고주파 급전부와, 상기 고주파가 인가된 전극의 측면과 상면 또는 하면의 주변 부분을 덮는 접지된 도전성 의 고주파 접지부재를 갖는다.
또한, 바람직하게는, 상기 고주파가 인가된 전극이 하부 전극이고, 이 경우, 상기의 장치 구성에 있어서는 제 1 고주파 급전부로부터의 제 1 고주파가 하부 전극의 표층을 타고 전극 주면(상면)에 돌아 들어갈 때에, 그 일부가 전극 측면이나 상면 주변부로부터 밖으로 방사되어도, 고주파 접지부재가 그것을 받아들여 접지 라인으로 보내므로, 처리 가스의 방전 즉 플라즈마 생성에 기여하는 일은 없다. 이것에 의해, 처리공간내의 플라즈마 생성 영역을 피처리 기판의 바로 위 및 그 부근에 한정하고, 기판상의 플라즈마 밀도 분포의 프로파일을 안정화시킬 수 있다. 또, 고주파 접지부재는 바람직하게는 하부 전극의 상면에 있어서 기판의 반경 방향 외측으로 비어져 나오는 부분의 대략 전역을 덮어도 좋다.
본 발명의 바람직한 1형태에 있어서는 하부 전극과 고주파 접지부재의 사이에 유전체가 배치되어 있다. 또한, 고주파 접지부재의 표면이 절연막으로 덮여 있다.
또한, 바람직한 1형태로서, 고주파 접지부재와 처리용기의 내벽의 사이에 처리공간을 처리용기의 바닥부에 마련되는 배기구에 연결하기 위한 배기로가 환상으로 형성되고, 이 배기로의 상부에 처리공간으로부터 확산되어 오는 플라즈마의 소멸을 촉진하기 위해 연직 방향으로 연장하는 접지된 도전성의 핀(fin) 부재가 마련된다. 이 핀 부재의 플라즈마 소멸 촉진 기능에 의해서, 배기로의 입구 부근 내지 그 위쪽에 존재하는 플라즈마를 적게 하여, 상대적으로 웨이퍼(wafer) 바로 위 영역의 플라즈마 밀도를 높게 하는 동시에, 플라즈마 밀도 분포의 고저차를 작게 할 수 있다.
바람직하게는 핀 부재는 배기로의 상부에 환상으로 마련되는 도전성의 배기 링(ring)에 일체로 형성 또는 부착되어도 좋고, 그 표면은 절연막으로 덮여 있어도 좋다. 또한, 핀 부재는 배기로의 주회(周回) 방향에 일정 간격을 두고 방사상으로 다수 배치되어도 좋다.
또한, 상기 고주파 접지부재에 의해 넓은 RF파워 영역에서 기판상의 플라즈마 밀도 분포를 대략 산(山)형의 프로파일에 일치시킬 수 있으므로, 더욱 플랫(flat)한 프로파일로 보정하기 때문에, 바람직한 1형태로서, 하부 전극의 상면에 혹은 상부 전극의 하면에, 전극 중심부에서 가장 두껍고 전극 주변부에서 가장 얇아지는 두께 분포로 유전체가 마련되어도 좋다.
본 발명에 의하면, 제 1 고주파의 주파수를 80㎒ 이상으로 설정해도, 넓은 RF파워 영역에서 플라즈마 밀도 내지 플라즈마 프로세스의 면내 균일성을 향상시킬 수 있다. 또한, 주로 하부 전극상의 기판에 플라즈마중의 이온을 인입하기 위한 제 2 고주파를 제 2 고주파 급전부로부터 하부 전극에 인가해도 좋다.
본 발명의 플라즈마 처리 장치에 따르면, 상기와 같은 구성 및 작용에 의해, 넓은 RF 주파수 영역 또한 넓은 RF파워 영역에서 플라즈마 프로세스의 면내 균일성을 향상시킬 수 있다.
이하, 첨부 도면을 참조해서 본 발명의 바람직한 실시형태를 설명한다.
도 1에, 본 발명의 1실시형태에 있어서의 플라즈마 처리 장치의 구성을 나타낸다. 이 플라즈마 처리 장치는 하부 전극에 2개의 고주파를 인가하는 캐소드 커플(cathod coupling) 방식(하부 2주파 인가 방식)의 용량 결합형 플라즈마 에칭 장치로서 구성되어 있고, 예를 들면 알루미늄(aluminum) 또는 스테인리스(stainless)강 등의 금속제의 원통형 챔버(처리 용기)(10)를 갖고 있다. 챔버(10)는 보안 접지되어 있다.
챔버(10)내에는 피처리 기판으로서 예를 들면 반도체 웨이퍼(W)를 탑재하는 원판형상의 하부 전극 또는 서셉터(susceptor)(12)가 마련되어 있다. 이 서셉터(12)는 도체 예를 들면 알루미늄으로 이루어지고, 절연체 예를 들면 알루미나(alumina)로 이루어지는 원통형상 유지부(14)를 거쳐서 챔버(10)의 바닥벽에 지지되어 있다.
서셉터(12)의 측면, 바람직하게는 서셉터(12)의 측면전체와 상면의 주변부(에지(edge)부)는 유전체(16)를 사이에 두고, 챔버(10)의 바닥벽으로부터 수직 위쪽으로 연장하는 RF 접지부재(18)에 의해서 덮여 있다. 유전체(16)는 예를 들면 석영으로 이루어지고, 그 하단이 절연성 원통형상 유지부(14)의 상단부에 접속되고, 그 상단부는 서셉터 중심을 향해 대략 직각으로 굴곡해서 서셉터(12)의 상면 에지부에 덮어져 있다. RF 접지부재(18)는 표면을 예를 들면 양극 산화(陽極酸化)막 혹 은 Y2O3 등의 절연 피막(19)(도 2참고, 다른 도면에서는 도시를 생략)으로 덮인 알루미늄으로 이루어지고, 그 하단은 챔버(10)의 바닥벽에 접속되고, 그 상단부는 서셉터 중심을 향해 대략 직각으로 굴곡해서 서셉터(12)의 상면 에지부에 유전체(16)를 거쳐서 덮여져 있다.
RF 접지부재(18)와 챔버(10)의 내벽의 사이에는 배기로(20)가 환상(環狀)으로 형성되고, 이 배기로(20)의 입구 부근 또는 상부에 코니컬(conical) 형상의 배기 링(ring)(배플판(baffle plate))(22)이 환상으로 부착되는 동시에, 배기로(20)의 바닥부에 배기구(24)가 마련되어 있다. 이 배기구(24)에 배기관(26)을 거쳐서 배기 장치(28)가 접속되어 있다. 배기 장치(28)는 진공 펌프를 갖고 있으며, 챔버(10)내의 처리공간을 소정의 진공도까지 감압할 수 있다. 챔버(10)의 외벽에는 반도체 웨이퍼(W)의 반출입구를 개폐하는 게이트 밸브(gate valve)(30)가 부착되어 있다.
서셉터(12)에는 고주파 방전용의 제 1 고주파 전원(32)이 제 1 정합기(34) 및 급전막대(36)를 거쳐서 전기적으로 접속되어 있다. 이 제 1 고주파 전원(32)은 플라즈마 생성에 적합한 비교적 높은 주파수 예를 들면 100㎒의 제 1 고주파를 하부 전극 즉 서셉터(12)에 인가한다. 챔버(10)의 천장부에는 후술하는 샤워헤드(shower head)(38)가 접지 전위의 상부 전극으로서 마련되어 있다. 이것에 의해, 제 1 고주파 전원(32)으로부터의 제 1 고주파는 서셉터(12)와 샤워헤드(38)의 사이에 용량적으로 인가된다.
또한, 서셉터(12)에는 제 2 고주파 전원(70)이 제 2 정합기(72) 및 급전막대(36)를 거쳐서 전기적으로 접속되어 있다. 이 제 2 고주파 전원(70)은 이온의 인입에 적합한 비교적 낮은 주파수 예를 들면 3.2㎒의 제 2 고주파를 출력한다.
서셉터(12)의 상면에는 반도체 웨이퍼(W)를 정전 흡착력으로 유지하기 위한 정전척(40)이 마련되어 있다. 이 정전척(40)은 시트(sheet)형상 또는 메시(mesh)형상의 도전체로 이루어지는 전극을 절연막의 내에 끼워 넣은 것이며, 해당 전극에는 직류 전원(42)이 스위치(43) 및 전선을 거쳐서 전기적으로 접속되어 있다. 직류 전원(42)으로부터의 직류 전압에 의해, 쿨롱(Coulomb)력으로 반도체 웨이퍼(W)를 정전척(40)상에 흡착 유지할 수 있다.
서셉터(12)의 내부에는 예를 들면 원주방향으로 연장하는 냉매실(44)이 마련되어 있다. 이 냉매실(44)에는 칠러(chiller) 유닛(46)으로부터 배관(48, 50)을 거쳐서 소정 온도의 냉매 예를 들면 냉각수가 순환 공급된다. 냉매의 온도에 의해서 정전척(40)상의 반도체 웨이퍼(W)의 처리온도를 제어할 수 있다. 또한, 전열 가스 공급부(52)로부터의 전열 가스 예를 들면 He 가스가, 가스 공급 라인(54)을 거쳐서 정전척(40)의 상면과 반도체 웨이퍼(W)의 이면 사이에 공급된다.
천장부의 샤워헤드(38)는 다수의 가스 통기 구멍(56a)을 갖는 하면의 전극판(56)과, 이 전극판(56)을 착탈(着脫) 가능하게 지지하는 전극 지지체(58)를 갖는다. 전극 지지체(58)의 내부에 버퍼(buffer)실(60)이 마련되고, 이 버퍼실(60)의 가스 도입구(60a)에는 처리 가스 공급부(62)로부터의 가스 공급 배관(64)이 접속되어 있다.
챔버(10)의 주위에는 환상 또는 동심형상으로 연장하는 2개의 링 자석(66a, 66b)이 배치되어 있고, 서셉터(12)와 상부 전극(38)의 사이의 처리공간(PS)의 주위에 자계를 형성하도록 되어 있다. 이 링 자석(66a, 66b)은 도시하지 않은 회전 기구에 의해 회전 가능하게 마련되어 있다.
제어부(68)는 이 플라즈마 에칭 장치내의 각 부 예를 들면 배기 장치(28), 제 1 고주파 전원(32), 제 1 정합기(34), 정전척용의 스위치(43), 칠러 유닛(46), 전열 가스 공급부(52), 처리 가스 공급부(62), 제 2 고주파 전원(70) 및 제 2 정합기(72) 등의 동작을 제어하는 것으로써, 호스트 컴퓨터(host computer)(도시하지 않음) 등과도 접속되어 있다.
이 플라즈마 에칭 장치에 있어서, 에칭을 실행하기 위해서는 우선, 게이트 밸브(30)를 열림 상태로 해서 가공 대상의 반도체 웨이퍼(W)를 챔버(10)내에 반입하고, 정전척(40)의 위에 탑재한다. 그리고, 처리 가스 공급부(62)로부터 에칭 가스(일반적으로 혼합 가스)를 소정의 유량으로 챔버(10)내에 도입하고, 배기 장치(28)에 의해 챔버(10)내의 압력을 설정값으로 한다. 또한, 제 1 고주파 전원(32)으로부터 소정의 파워로 제 1 고주파를 서셉터(12)에 공급하는 동시에, 제 2 고주파 전원(70)으로부터도 소정의 파워로 제 2 고주파를 서셉터(12)에 공급한다. 또한, 직류 전원(42)으로부터 직류 전압을 정전척(40)의 전극에 인가하여, 반도체 웨이퍼(W)를 정전척(40)상에 고정시킨다. 샤워헤드(38)로부터 토출된 에칭 가스는 양 전극(12, 38)간에서 제 1 고주파의 방전에 의해서 플라즈마화되고, 이 플라즈마로 생성되는 래디컬이나 이온에 의해서 반도체 웨이퍼(W)의 주면이 원하는 패 턴(pattern)으로 에칭된다.
이 플라즈마 에칭 장치에서는 제 1 고주파 전원(32)으로부터 서셉터(하부 전극)(12)에 대해 종래보다도 현격히 높은 주파수 영역(바람직하게는 80㎒ 이상)의 제 1 고주파를 인가하는 것에 의해, 플라즈마를 바람직한 해리 상태에서 고밀도화하고, 더욱 저압의 조건하에서도 고밀도 플라즈마를 형성할 수 있다. 그와 동시에, 서셉터(12)에 3.2㎒와 같은 이온 인입에 적합한 비교적 낮은 주파수의 제 2 고주파를 인가하는 것에 의해, 반도체 웨이퍼 W의 피가공막에 대해 선택성이 높은 이방성의 에칭을 실시할 수 있다. 무엇보다도, 플라즈마 생성용의 제 1 고주파는 어떠한 플라즈마 프로세스에서도 반드시 사용되지만, 이온 인입용의 제 2 고주파는 프로세스에 따라서는 사용되지 않는 경우가 있다.
이 용량 결합형 플라즈마 에칭 장치에 있어서의 주된 특징은 도 2에 확대해서 나타내는 바와 같이, 서셉터(12)의 측면과 상면 주변부를 유전체(16)를 거쳐서 덮는 도전성의 RF 접지부재(18)를 갖고 있는 구성에 있다.
여기서, 도 3을 참고하여, RF 접지부재(18)의 작용을 설명한다. 또, 제 2고주파에 의한 이온의 인입은 RF 접지부재(18)의 작용과는 특히 관계하지 않기 때문에, 제 2 고주파 전원(70)은 도시하지 않고 있다.
도 3에 있어서, 제 1 고주파 전원(32)으로부터 출력된 제 1 고주파(RF)는 급전막대(36)의 외주면 표층(表層)을 타고 서셉터(12)의 하면 중심부에 들어가고, 거기로부터 서셉터 하면 표층을 타고 방사상으로 반경방향 외측으로 전파하고, 서셉터 외주면(측면)을 돌아서 서셉터 상면에 도달한다. 그리고, 서셉터(12)의 상면에 서, 제 1 고주파(RF)는 상면의 주변부에서 상면의 중심부를 향해 반경방향 내측으로 역방사상으로 전파하면서, 반도체 웨이퍼(W)를 빠져나가 처리공간(PS)에 방사되고, 처리 가스의 분자와 충돌해서 가스 분자를 전리 또는 해리(解離)시킨다. 여기서, 제 1 고주파(RF)의 주파수가 대체로 80㎒를 넘으면, 제 1 고주파(RF)의 내에서 반도체 웨이퍼(W)의 아래, 즉, 서셉터(12)의 상면에 도달하기 전에 서셉터(12)의 외주면(측면)이나 상면 주변부로부터 밖으로 빠져나가는 비율이 무시할 수 없을 정도로 증대한다.
이 실시형태에서는 서셉터(12)의 외주면(측면)이나 상면 주변부로부터 빠져나간 제 1 고주파(RF′)는 도 3에 나타내는 바와 같이, 유전체(16)를 빠져 나간 직후에 RF 접지부재(18)에 들어가고, RF 접지부재(18)의 내측면 표층을 타고 챔버(10)의 바닥벽으로 보내지고, 거기로부터 접지 라인으로 흐른다. 이렇게 해서, 서셉터(12)에 공급된 제 1 고주파(RF) 중에서, 서셉터(12)의 상면으로부터 반도체 웨이퍼(W)를 통과하여 처리공간(PS)에 방사되는 것만이 처리 가스의 전리 또는 해리 즉 플라즈마 생성에 유효하게 기여하여, 처리공간(PS)내의 플라즈마 생성 영역이 이상적으로는 반도체 웨이퍼(W)의 바로 위에 한정된다. 즉, 처리공간(PS)에 있어서 반도체 웨이퍼(W)의 바로 위 영역보다도 반경방향 외측의 영역에서의 플라즈마 생성이 극도로 제한되고, 플라즈마 밀도 분포에 관해 주변영역에서 웨이퍼 바로 위 영역으로의 영향이 억제된다. 이것에 의해, 서셉터(12)에 탑재되어 있는 반도체 웨이퍼(W)상에서 플라즈마 밀도 분포가 중심부 뿐만 아니라 에지부에서도 높아져 중간 부가 쑥 들어가는 W형의 프로파일이 생기기 어려워진다.
또한, 이 용량 결합형 플라즈마 에칭 장치는 플라즈마 밀도 분포 특성을 개선하기 위해, 또한 배기로(20)의 입구 부근에 배치되는 배플판(22)에 연직 방향의 판면을 갖는 판형상의 핀(fin) 부재(25)를 일체로 형성 또는 부착하고 있는 구성도 특징의 하나로 하고 있다. 도 4에 나타내는 바와 같이, 핀 부재(25)는 배플판(22)의 주회방향에 일정 간격을 두고 방사상으로 다수 배치되어 있다. 또, 배플판(22)의 바닥벽에는 다수의 통기 구멍(22a)이 형성되어 있다. 핀 부재(25) 및 배플판(22) 모두 도체 예를 들면 알루미늄으로 이루어지고, 그 표면은 양극 산화막 또는 Y2O3 등의 절연막(23)(도 2참고, 다른 도면에서는 도시를 생략)으로 덮여 있으며, 전기적으로는 챔버(10) 혹은 RF 접지부재(18)를 거쳐서 접지되어 있다.
핀 부재(25)는 배플판(22)의 본래적인 기능(진공 배기 안정화 기능 내지 처리 공간 압력 제어 기능)에 영향을 미치지 않고, 처리공간(PS)측으로부터 배기로(20)측으로 확산되어 오는 플라즈마의 소멸을 촉진하는 기능을 갖고 있다. 이 핀 부재(25)의 플라즈마 소멸 촉진 기능에 의해서, 배기로(20)의 입구 부근 또는 그 위쪽에 존재하는 플라즈마를 적게 하여 상대적으로 웨이퍼 바로 위 영역의 플라즈마 밀도를 높게 하는 동시에, 산형 프로파일의 고저차를 작게 할 수 있다.
도 6a 내지 도 6c에, 일실시예로서, 실시형태의 플라즈마 에칭 장치(도 1)에 의한 에칭 프로세스에서 얻어진 에칭 레이트(etching rate)의 면내 분포 특성을 나타낸다. 주된 에칭 조건은 다음과 같다.
웨이퍼 구경: 300㎜
피에칭막: 포토 레지스트(블랭킷(blanket)막)
처리 가스: O2 100sccm
챔버내의 압력: 5mTorr
고주파 전력: 100㎒/3.2㎒=500~2000/0W
온도: 상부 전극/챔버 측벽/ 하부 전극=60/60/20℃
전열 가스(He 가스) 공급 압력: 센터부/에지부=10/50Torr
도 7a 내지 도 7c에, 비교예로서, 도 1의 플라즈마 에칭 장치에 있어서 RF 접지부재(18) 및 핀 부재(25)를 구비하지 않는 구성, 즉 서셉터(12) 주위를 도 5에 나타내는 바와 같은 구성으로 한 경우에, 상기와 동일한 에칭 조건하에서 얻어진 에칭 레이트의 면내 분포 특성을 나타낸다.
도 5에 있어서, 유전체(16′)는 서셉터(12)의 상면 주변부에 씌워지는 동시에, 상부 전극(38) 혹은 챔버(10)의 천장 또는 내벽에 대향해서 노출되어 있다. 서셉터(12)의 상면에서 웨이퍼 탑재 영역의 주위에는 유전체(16′)의 위에 배치되도록 예를 들면 Si, SiC 등으로 이루어지는 포커스 링(focus ring)(80)이 부착된다. 유전체(16′)의 측면을 덮는 접지된 원통형상의 도체(82)는 배기로(20)의 벽을 형성하는 것이며, 서셉터(12) 내지 유전체(16′)의 상면에까지 덮이는 것은 아니다.
도 7a 내지 도 7c에 나타내는 바와 같이, RF 접지부재(18) 및 핀 부재(25)를 구비하지 않는 경우에는 플라즈마 생성용의 제 1 고주파(100㎒)의 파워를 500W에서 1000W, 2000W로 올리면, 에칭 레이트의 면내 균일성이 ±28.8%에서 ±39.6%、± 46.5%로 현저하게 저하한다. 한편, 500W의 저파워 영역에 있어서는 에칭 레이트 분포가 기판상의 중심부 뿐만 아니라 에지부에서도 높아져 중간부가 쑥 들어가는 W형의 프로파일로 되어 있다.
이에 반해, 도 6a 내지 도 6c에 나타내는 바와 같이, 실시예에서는 제 1 고주파(100㎒)의 파워를 500W에서 1000W, 2000W로 올려도, 에칭 레이트의 면내 균일성은 ±15.8%에서 ±20.7%、±20.1%로 그다지 변화하지 않고 안정되어 있다. 또한, 어느 파워 영역에서도, 고저차의 차이는 있어도 균일하게 산형의 프로파일에 일치해 있으며, W형의 프로파일은 생기지 않고 있다.
일반적으로 포토 레지스트의 에칭 레이트는 전자 밀도에 의존하므로, 도 6a 내지 도 6c 및 도 7a 내지 도 7c의 에칭 레이트 분포 특성은 전자 밀도 분포 특성으로 치환해서 평가할 수 있다.
상기와 같이, 본 발명에 의하면, 플라즈마 생성용의 고주파에 상당히 높은 주파수(대체로 80㎒이상)를 이용해도, 넓은 RF파워 영역에서 전자 밀도 분포의 면내 균일성을 안정화할 수 있는 동시에, 전자 밀도 분포 프로파일의 변측적인 변화(특히 W형의 발생)를 방지할 수도 있다. 따라서, 플라즈마 에칭의 면내 균일성을 향상시킬 수 있다.
또한, 상기 실시형태의 플라즈마 에칭 장치에서는 상술한 바와 같이 어느 RF파워 영역에서도 전자 밀도 분포가 산형의 프로파일에 일치하므로, 플랫한 프로파일로 보정하기 위해, 도 8에 나타내는 바와 같이 서셉터(12)의 상면에 유전체(84)를 매립하는 구성을 바람직하게 이용할 수 있다. 이 경우, 유전체(84)의 두께는 서 셉터(12)의 중심부에서 가장 크고, 서셉터(12)의 에지부를 향해 점차(혹은 도중부터) 작아지도록 설정되어도 좋다.
마찬가지의 목적으로, 도 9에 나타내는 바와 같이, 상부 전극(38)의 하면에 유전체(86)를 매립하는 구성도 가능하다. 이 경우에도, 유전체(86)의 두께는 서셉터(12)의 중심부에서 가장 크고, 서셉터(12)의 에지부를 향해 점차(혹은 도중부터) 작아지도록 설정되어도 좋다.
이상, 본 발명의 바람직한 일실시형태에 대해 설명했지만, 본 발명은 상기 실시형태에 한정되는 것은 결코 아니고, 각종 변형이 가능하다. 특히, RF 접지부재(18) 및 핀 부재(25)의 구성에 대해서는 장치내의 다른 기구와 최적으로 조합되도록 각종 선택?변형을 실행할 수 있다.
예를 들면, 도 9에 나타내는 바와 같이, 서셉터(12)의 상면에 있어서, 반도체 웨이퍼 W의 에지와 RF 접지부재(18)의 사이에 적당한 간극(間隙)을 설정하고, 거기에 적당한 재질(예를 들면 Si, SiC 등)로 이루어지는 커버(cover)(88)를 전기적으로 플로팅(floating) 상태에서 배치하는 구성도 가능하다. 이 경우는 서셉터(12)의 상면으로부터 유전체(16) 및 커버(88)를 통해 처리공간(PS)에 고주파가 방사되고, 커버(88)의 위쪽에서도 플라즈마가 생성된다. 또한, 배플판(22)을 코니컬 형상 이외의 형상 예를 들면 수평형상으로 구성하는 것도 가능하며, 핀 부재(25)의 상면을 비스듬히 하는 구성 등도 가능하다. 도시는 생략하지만, 핀 부재(25)를 배플판(22)으로부터 분리 독립해서 마련하는 구성도 가능하다.
더 나아가서는 도 10에 나타내는 바와 같이, RF 접지부재(18)의 상면에 커 버(90)를 씌우는 구성도 가능하다.
또한, 본 발명은 상기 실시형태와 같은 하부 2주파 인가 방식에의 적용에 한정되는 것은 아니고, 예를 들면 서셉터(하부 전극)에 단일의 고주파를 인가하는 하부 1주파 인가 방식이나, 상부 전극에 플라즈마 생성용의 고주파를 인가하는 타입에도 적용 가능하다.
또한, 도시는 생략하지만, 상부 전극에 플라즈마 생성용의 고주파를 인가하는 타입의 장치에 있어서, 상부 전극 주변부에 상기 실시형태에서 설명한 RF 접지부재(18)와 마찬가지의 구성 및 기능을 갖는 RF 접지부재를 마련해도 좋다. 상부 전극의 측면과 하면의 주변 부분을 덮는 RF 접지부재를 마련함으로써, 상부 전극에 인가된 고주파의 일부가 상부 전극 측면이나 상부 전극 하면 주변부에서 반경 방향 외측을 향해 방사되어도, RF 접지부재가 그것을 받아들여 접지 라인으로 보내도록 할 수 있으므로, 처리공간내의 플라즈마 생성 영역을 피처리 기판의 바로 위 및 그 부근에 한정할 수 있다.
본 발명은 플라즈마 에칭 장치에 한정되지 않고, 플라즈마 CVD, 플라즈마 산화, 플라즈마 질화, 스퍼터링 등의 다른 플라즈마 처리 장치에도 적용 가능하다. 또한, 본 발명에 있어서의 피처리 기판은 반도체 웨이퍼에 한정되는 것은 아니고, 플랫 패널 디스플레이(Flat panel display)용의 각종 기판이나, 포토 마스크(photo mask), CD 기판, 프린트(print) 기판 등도 가능하다.
도 1은 본 발명의 1실시형태에 있어서의 용량 결합형 플라즈마 에칭 장치의 구성을 나타내는 종단면도.
도 2는 실시형태의 용량 결합형 플라즈마 에칭 장치에 있어서의 주요부의 구성을 확대해서 나타내는 부분 확대 단면도.
도 3은 실시형태에 있어서의 RF 접지부재의 작용을 설명하기 위한 도면.
도 4는 실시형태에 있어서의 핀 부재의 구성예를 나타내는 사시도.
도 5는 비교예로서, 도 1의 플라즈마 에칭 장치에 있어서 RF 접지부재 및 핀 부재를 구비하지 않는 구성의 주요부를 나타내는 부분 확대 단면도.
도 6a 내지 도 6c는 실시형태의 장치 구성에서 얻어진 일예의 에칭 레이트 분포 특성을 나타내는 도면.
도 7a 내지 도 7c는 도 5의 장치 구성에서 얻어진 비교예의 에칭 레이트 분포 특성을 나타내는 도면.
도 8은 실시형태의 플라즈마 에칭 장치에 있어서의 일변형예의 주요부의 구성을 나타내는 부분 확대 단면도.
도 9는 실시형태의 플라즈마 에칭 장치에 있어서의 다른 변형예의 주요부의 구성을 나타내는 부분 확대 단면도.
도 10은 실시형태의 플라즈마 에칭 장치에 있어서의 다른 변형예의 주요부의 구성을 나타내는 부분 확대 단면도.
부호의 설명
10: 챔버(처리용기)
12: 서셉터(하부 전극)
16: 유전체
18: RF 접지부재
20: 배기로
22: 배플판
25: 핀 부재
28: 배기 장치
32: 제 1 고주파 전원
38: 상부 전극(샤워헤드)
62: 처리 가스 공급부
70: 제 2 고주파 전원
88, 90: 커버 부재

Claims (12)

  1. 진공 배기 가능한 처리용기와,
    상기 처리용기내에서 피처리 기판을 탑재하는 하부 전극과,
    상기 처리용기내에서 상기 하부 전극과 평행하게 대향하는 상부 전극과,
    상기 상부 전극과 상기 하부 전극의 사이의 처리공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와,
    고주파 방전에 의해서 상기 처리 가스의 플라즈마를 생성하기 위한 고주파를 상기 하부 전극 또는 상기 상부 전극에 인가하는 고주파 급전부와,
    상기 고주파가 인가된 전극의 주변부에서 반경 방향 외측을 향해 방출되는 고주파를 받아들여 접지 라인으로 보내기 위해 상기 고주파가 인가된 전극의 주변부를 덮는 도전성의 고주파 접지부재를 가지며,
    상기 고주파 접지부재와 상기 처리용기의 내벽의 사이에 상기 처리공간을 상기 처리용기의 바닥부에 마련되는 배기구에 연결하기 위한 배기로가 환상(環狀)으로 형성되고,
    상기 배기로의 상부에 상기 처리공간으로부터 확산되어 오는 플라즈마의 소멸을 촉진하기 위한 연직 방향으로 연장하는 접지된 도전성의 핀(fin) 부재를 갖는
    플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 고주파가 인가된 전극은 하부 전극인 것을 특징으로 하는
    플라즈마 처리 장치.
  3. 진공 배기 가능한 처리용기와,
    상기 처리용기내에서 피처리 기판을 탑재하는 하부 전극과,
    상기 처리용기내에서 상기 하부 전극과 평행하게 대향하는 상부 전극과,
    상기 상부 전극과 상기 하부 전극의 사이의 처리공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와,
    고주파 방전에 의해서 상기 처리 가스의 플라즈마를 생성하기 위한 고주파를 상기 하부 전극 또는 상부 전극에 인가하는 고주파 급전부와,
    상기 고주파가 인가된 전극의 측면과 상면 또는 하면의 주변 부분을 덮는 접지된 도전성의 고주파 접지부재를 가지며,
    상기 고주파 접지부재와 상기 처리용기의 내벽의 사이에 상기 처리공간을 상기 처리용기의 바닥부에 마련되는 배기구에 연결하기 위한 배기로가 환상(環狀)으로 형성되고,
    상기 배기로의 상부에 상기 처리공간으로부터 확산되어 오는 플라즈마의 소멸을 촉진하기 위한 연직 방향으로 연장하는 접지된 도전성의 핀(fin) 부재를 갖는
    플라즈마 처리 장치.
  4. 제 3 항에 있어서,
    상기 고주파가 인가된 전극은 하부 전극인 것을 특징으로 하는
    플라즈마 처리 장치.
  5. 제 4 항에 있어서,
    상기 고주파 접지부재가 상기 하부 전극의 상면에 있어서 상기 기판의 반경 방향 외측으로 비어져 나오는 부분의 전역을 덮는
    플라즈마 처리 장치.
  6. 제 1 항 내지 제 5 항 중의 어느 한 항에 있어서,
    상기 하부 전극과 상기 고주파 접지부재의 사이에 유전체가 배치되어 있는
    플라즈마 처리 장치.
  7. 제 1 항 내지 제 5 항 중의 어느 한 항에 있어서,
    상기 고주파 접지부재의 표면이 절연막으로 덮여 있는
    플라즈마 처리 장치.
  8. 삭제
  9. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 핀 부재가 상기 배기로의 상부에 환상으로 마련되는 도전성의 배기 링에 일체로 형성 또는 부착되는
    플라즈마 처리 장치.
  10. 제 9 항에 있어서,
    상기 핀 부재의 표면이 절연막으로 덮여 있는
    플라즈마 처리 장치.
  11. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 핀 부재가 상기 배기로의 주회(周回) 방향에 일정 간격을 두고 방사상으로 다수 배치되는
    플라즈마 처리 장치.
  12. 제 1 항 내지 제 5 항 중의 어느 한 항에 있어서,
    상기 하부 전극의 상면 또는 상부 전극의 하면에, 전극 중심부에서 가장 두껍고 전극 주변부에서 가장 얇아지는 두께 분포로 유전체가 마련되는
    플라즈마 처리 장치.
KR1020090024130A 2008-03-21 2009-03-20 플라즈마 처리 장치 KR101124938B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008073376A JP5264231B2 (ja) 2008-03-21 2008-03-21 プラズマ処理装置
JPJP-P-2008-073376 2008-03-21

Publications (2)

Publication Number Publication Date
KR20090101129A KR20090101129A (ko) 2009-09-24
KR101124938B1 true KR101124938B1 (ko) 2012-03-27

Family

ID=41051678

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090024130A KR101124938B1 (ko) 2008-03-21 2009-03-20 플라즈마 처리 장치

Country Status (6)

Country Link
US (2) US20090236043A1 (ko)
JP (1) JP5264231B2 (ko)
KR (1) KR101124938B1 (ko)
CN (1) CN101540277B (ko)
DE (1) DE102009014067B4 (ko)
TW (1) TWI494994B (ko)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
JP5350043B2 (ja) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5424744B2 (ja) * 2009-07-01 2014-02-26 株式会社フェローテック 分割環状リブ型プラズマ処理装置
US9313872B2 (en) * 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5582823B2 (ja) * 2010-02-26 2014-09-03 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
CN102810770B (zh) * 2011-05-31 2015-03-04 中微半导体设备(上海)有限公司 实现等离子体刻蚀腔体与阴极之间电连接的接地器件
CN103578906B (zh) * 2012-07-31 2016-04-27 细美事有限公司 用于处理基板的装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
JP6204869B2 (ja) * 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101503255B1 (ko) 2014-10-10 2015-03-18 (주) 일하하이텍 기판 처리 장치 및 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR102424818B1 (ko) * 2015-05-27 2022-07-25 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 포커스 링
JP2016225506A (ja) * 2015-06-01 2016-12-28 東京エレクトロン株式会社 表面改質装置、接合システム、表面改質方法、プログラム及びコンピュータ記憶媒体
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6492287B2 (ja) * 2015-10-01 2019-04-03 パナソニックIpマネジメント株式会社 素子チップの製造方法および電子部品実装構造体の製造方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102142557B1 (ko) * 2016-06-21 2020-08-07 어플라이드 머티어리얼스, 인코포레이티드 Rf 리턴 스트랩 차폐 커버
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
JP2019109980A (ja) * 2017-12-15 2019-07-04 株式会社日立ハイテクノロジーズ プラズマ処理装置
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
KR102600470B1 (ko) * 2018-05-02 2023-11-13 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
WO2019229873A1 (ja) * 2018-05-30 2019-12-05 東芝三菱電機産業システム株式会社 活性ガス生成装置
US20200051793A1 (en) 2018-08-13 2020-02-13 Skc Solmics Co., Ltd. Ring-shaped element for etcher and method for etching substrate using the same
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7224192B2 (ja) * 2019-01-22 2023-02-17 東京エレクトロン株式会社 プラズマ処理装置
CN112017936B (zh) * 2019-05-28 2024-05-31 东京毅力科创株式会社 等离子体处理装置
WO2020255319A1 (ja) * 2019-06-20 2020-12-24 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
JP7308711B2 (ja) * 2019-09-26 2023-07-14 東京エレクトロン株式会社 プラズマ処理装置
KR102352039B1 (ko) 2020-11-30 2022-01-18 주식회사 글텍 정전척의 에지링 제조방법 및 이로부터 제조된 에지링을 포함하는 정전척
CN114695041A (zh) * 2020-12-25 2022-07-01 中微半导体设备(上海)股份有限公司 一种等离子体反应器
CN113737181B (zh) * 2021-09-27 2024-01-26 绍兴华立电子有限公司 一种弹片的蚀刻加工装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR890011046A (ko) * 1987-12-25 1989-08-12 고다가 토시오 에칭방법 및 그 장치
KR950015623A (ko) * 1993-11-30 1995-06-17 니시히라 슌지 플라즈마 처리장치
JP2006186323A (ja) * 2004-12-03 2006-07-13 Tokyo Electron Ltd プラズマ処理装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992007377A1 (en) * 1990-10-23 1992-04-30 Genus, Inc. Sacrificial metal etchback system
US5736021A (en) * 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6051100A (en) * 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
JP2002231703A (ja) * 2001-01-30 2002-08-16 Anelva Corp プラズマ処理装置
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
JP4908738B2 (ja) * 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー Ald方法
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
JP4255747B2 (ja) * 2003-05-13 2009-04-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4640922B2 (ja) * 2003-09-05 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置
JPWO2005055298A1 (ja) * 2003-12-03 2007-08-23 東京エレクトロン株式会社 プラズマ処理装置及びマルチチャンバシステム
JP4959118B2 (ja) * 2004-04-30 2012-06-20 株式会社アルバック スパッタリング装置及びスパッタリング装置用のターゲット
US7767055B2 (en) * 2004-12-03 2010-08-03 Tokyo Electron Limited Capacitive coupling plasma processing apparatus
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4877747B2 (ja) * 2006-03-23 2012-02-15 東京エレクトロン株式会社 プラズマエッチング方法
JP4753306B2 (ja) * 2006-03-29 2011-08-24 東京エレクトロン株式会社 プラズマ処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR890011046A (ko) * 1987-12-25 1989-08-12 고다가 토시오 에칭방법 및 그 장치
KR950015623A (ko) * 1993-11-30 1995-06-17 니시히라 슌지 플라즈마 처리장치
JP2006186323A (ja) * 2004-12-03 2006-07-13 Tokyo Electron Ltd プラズマ処理装置

Also Published As

Publication number Publication date
TWI494994B (zh) 2015-08-01
JP2009231439A (ja) 2009-10-08
DE102009014067A1 (de) 2009-10-08
CN101540277A (zh) 2009-09-23
US8651049B2 (en) 2014-02-18
US20090236043A1 (en) 2009-09-24
JP5264231B2 (ja) 2013-08-14
TW200943413A (en) 2009-10-16
US20130075037A1 (en) 2013-03-28
KR20090101129A (ko) 2009-09-24
CN101540277B (zh) 2012-12-05
DE102009014067B4 (de) 2014-02-13

Similar Documents

Publication Publication Date Title
KR101124938B1 (ko) 플라즈마 처리 장치
JP4472372B2 (ja) プラズマ処理装置及びプラズマ処理装置用の電極板
KR101124811B1 (ko) 플라즈마 처리 장치
JP5514413B2 (ja) プラズマエッチング方法
JP5064707B2 (ja) プラズマ処理装置
JP6423706B2 (ja) プラズマ処理装置
TWI502619B (zh) 用於電漿處理設備之電極、電漿處理設備、以及使用電漿處理設備產生電漿的方法
US8261691B2 (en) Plasma processing apparatus
US10418224B2 (en) Plasma etching method
US20070227666A1 (en) Plasma processing apparatus
JP2010278166A (ja) プラズマ処理用円環状部品、及びプラズマ処理装置
CN111095498B (zh) 载置台、基板处理装置以及边缘环
TW201440142A (zh) 環形擋板
JP2022179495A (ja) プラズマ処理方法
TW201833976A (zh) 雙頻率表面波電漿源
TWI719958B (zh) 電漿蝕刻方法
CN113903647A (zh) 边缘环和蚀刻装置
JPH10134995A (ja) プラズマ処理装置及びプラズマ処理方法
JP5893260B2 (ja) プラズマ処理装置および処理方法
JP2006114933A (ja) 反応性イオンエッチング装置
KR20050001831A (ko) 플라즈마 처리 장치
JP5064708B2 (ja) プラズマ処理装置
US20210407767A1 (en) Plasma processing apparatus and plasma processing method
JP2004241592A (ja) プラズマ処理装置
JPH06283471A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150130

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160127

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170202

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180219

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190218

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200218

Year of fee payment: 9