JPH0760852B2 - 銅合金導電プラグ形成方法及び装置 - Google Patents

銅合金導電プラグ形成方法及び装置

Info

Publication number
JPH0760852B2
JPH0760852B2 JP4081500A JP8150092A JPH0760852B2 JP H0760852 B2 JPH0760852 B2 JP H0760852B2 JP 4081500 A JP4081500 A JP 4081500A JP 8150092 A JP8150092 A JP 8150092A JP H0760852 B2 JPH0760852 B2 JP H0760852B2
Authority
JP
Japan
Prior art keywords
copper alloy
layer
copper
interconnect structure
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP4081500A
Other languages
English (en)
Other versions
JPH05102318A (ja
Inventor
ジエームス・マツケル・エドウイン・ハーパー
カレン・リン・ホロウエイ
トーマス・ユ−キウ・クオツク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH05102318A publication Critical patent/JPH05102318A/ja
Publication of JPH0760852B2 publication Critical patent/JPH0760852B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は銅合金導電プラグ形成方
法及び装置に関し、特に超大規模集積回路(VLSI)
金属相互接続構造、電気的導体、薄膜導電性ストライプ
及びそれらの製造方法について、こうした構造のための
銅合金導体に適用して好適なものである。
【0002】
【従来の技術】過去のVLSI製造ステツプにおいて
は、単一の基板上に配置された半導体領域又は半導体デ
バイス内におけるコンタクト及び相互接続のための単一
の合金材料としてアルミニウムが使用されていた。アル
ミニウムは低コスト、優れたオーミツクコンタクト及び
高導電率をもつているので好ましい。しかしながら純粋
なアルミニウム薄膜導電性ストライプは、融点が低いた
めにその使用が低温処理に制限され、コンタクト及び接
続不良の原因になるアニール時にシリコン内に拡散した
り、エレクトロニクス・マイグレーシヨンをしたりする
など望ましくない特性をもつている。その結果、純粋な
アルミニウム以上の利点を有する多数のアルミニウム合
金が開発された。例えば米国特許第 4,566,177号におい
ては、エレクトロ・マイグレーシヨン抵抗を改良するた
めに合計で重量比3〔%〕までのシリコン、銅、ニツケ
ル、クロム及び又はマンガンを含有するアルミニウム合
金の導電層が開発された。米国特許第 3,631,304号にお
いてはエレクトロ・マイグレーシヨンを改良するために
アルミニウム及び酸化アルミニウムの合金が作られた。
【0003】現在のVLSI技術は、将来のVLSIデ
バイスで必要とされる高回路密度及び一段と速い動作速
度から生ずるバツクエンド・オブ・ザ・ライン(BEO
L)配線要求をきびしく要求した。このことは、導電ラ
インをますます小さくしながら一段と高い電流密度を実
現することを要求する。かくして、一段と高いコンダク
タンスを有する配線が必要となり、一段と大きな配線断
面積を有するアルミニウム合金導体又は一段と高いコン
ダクタンスを有する配線材料が必要となつた。産業界に
おける傾向は、銅のコンダクタンスがアルミニユウムの
コンダクタンスよりも大きいので純粋な銅を用いて高コ
ンダクタンス配線材料を開発することである。
【0004】
【発明が解決しようとする課題】VLSI相互接続構造
の形成においては、銅がライン、バイア、又は他の凹所
内に堆積されることにより、同一基板上の半導体領域又
は半導体デバイスを相互接続する。銅は半導体デバイス
接合において幾つかの難点の原因として知られており、
銅がシリコン基板内に拡散すれば、これがデバイスの機
能不全の原因となり得る。さらに純粋な銅は二酸化ケイ
素及びポリイミドのような酸素を含む絶縁体への接着が
良くない。かくしてBEOL銅金属処理のための現在の
手段は、1000〔Å〕以上もの厚さを有する拡散障壁及び
又は接着層を含む。例えば、VLSI相互接続構造10
の一部の概略を図5に示す。構造10において、銅プラ
グ12はVLSIデバイス内に配設された導電層及び半
導体素子を相互接続するために用いられる。銅導電ライ
ン18の表面に置かれた絶縁層16に凹所14が形成さ
れる。物理蒸着法又は化学蒸着法を用いて、相互接続構
造10を接着層20及び銅プラグ12で埋める。銅は酸
素を含む絶縁体にも銅自身にも十分に接着しないので、
接着剤として接着層20を使用することにより銅プラグ
12を絶縁層16及び銅導電ライン18に接着させるこ
とができる。接着層20はチタン−タングステン(Ti
W)又はチタン−チツ化物(TiN)のような耐火性金
属複合体からなる。
【0005】他のVLSI相互接続構造22の一部の概
略を図6に示す。相互接続構造22内の銅プラグ12
は、シリコン基板26内に形成された半導体領域24と
コンタクトするために使用される。図示のように領域2
4はケイ化タンタル(TaSi2 )又はケイ化コバルト
(CoSi2 )からなるケイ化金属コンタクトになる。
銅は低温において容易にケイ化物と反応してシリコン基
板26内に拡散するので、拡散障壁及び接着層20がこ
うした拡散を阻止し、銅プラグ12が絶縁層16に接着
できるようにするために用いられる。
【0006】接着層20のような拡散障壁及び又は接着
層をBEOL銅金属処理に使用すると、幾つかの問題点
が生ずる。構造10において接着層20は、凹所14を
部分的に被覆することによつて銅導電体12及び銅導電
ライン18間に1つの層を挿入する。これは接触抵抗を
上昇させる上に、接着層20の抵抗を直列に付加する。
構造10及び構造22において拡散障壁及び又は接着層
20は、導電性ではあるが、純粋な銅よりも抵抗が大き
い上に、その存在は凹所14の銅の断面積を減少させる
ので、ミクロン以下であるラインの電流導通能力を低減
させる。かくしてBEOL配線に必要とされる電流需要
を満たすために、上述の問題点を含まない銅金属処理を
開発する必要がある。
【0007】本発明はVLSI相互接続構造内に銅合金
によりバイア、ライン、及び他の凹所を設け、堆積した
合金の表面及び酸素含有絶縁体に接触している合金の表
面に合金元素酸化物の薄い層を形成する方法を提案す
る。また本発明は本発明の方法を用いて形成される新規
なVLSI相互接続構造を提案する。
【0008】本発明は従来技術による拡散障壁及び又は
接着層を使用するBEOL銅金属処理を大幅に改善する
ものである。従来技術は2つの堆積ステツプを必要とす
るが、本発明による方法の一実施例においては1つの堆
積ステツプのみを必要とする。第2に本発明は、バイ
ア、ライン又は凹所において利用し得る銅合金の断面積
を増大させることによつて、ミクロン以下であるライン
の電流導通能力を向上させる。最後に本発明は、半導体
素子又は導電層を相互に接続する相互接続構造において
使用される従来技術の接着層に存在する直列抵抗及び接
触抵抗を除去する。
【0009】
【課題を解決するための手段】かかる課題を解決するた
め本発明においては、基板の1つの主要な平面上に配置
された酸素含有絶縁体層16内に形成されたVLSI相
互接続構造28の凹所14内に銅合金導電プラグ30を
形成する方法において、銅及び原子百分率 2.0〔%〕未
満の合金元素からなる銅合金を形成するステツプと、相
互接続構造28の凹所14内に銅合金を堆積させると共
に、銅合金プラグ30並びにプラグの露出している表面
及びプラグの酸素含有絶縁体16に接触している面に合
金元素の酸化物の薄膜層32を形成するステツプとを含
むようにする。
【0010】
【作用】本発明の方法の第1ステツプは、原子百分率
2.0〔%〕未満の合金元素を含む銅合金を形成すること
である。本発明の一実施例における第2すなわち最終ス
テツプは、薄い酸化物層を形成するのに適した堆積温度
によりバイア、ライン又は凹所内に銅合金を堆積させる
ことである。当該銅合金は物理蒸着(PVD)法又は化
学蒸着(CVD)法のいずれかによつて堆積させること
ができる。本発明の他の実施例の第2ステツプにおいて
は、薄膜酸化物層を形成しない堆積温度によりバイア、
ライン又は凹所内に銅合金を堆積させる。次に、相互接
続構造がアニール処理されて薄膜酸化物層が形成され
る。
【0011】当該酸化物層は幾つもの機能を果たす。第
1に、当該酸化物層は接着剤として作用することによ
り、銅合金が酸素含有絶縁体に接着できるようにする。
第2の機能としてこの酸化物層は拡散障壁として作用
し、これによつてラインすなわち凹所内に銅合金を封じ
込める。第3の機能としてこの酸化物層は表面保護層と
して作用し、これによつて堆積した銅合金に耐食性を与
える。第4に、この酸化物層は小丘の形成を防止する。
【0012】
【実施例】以下図面について、本発明の一実施例を詳述
する。
【0013】図面中の同一の参照番号は同一の構成要素
を示す。
【0014】本発明に従つて、酸素含有絶縁体層内のバ
イア、ライン又は他の凹所内に銅及び合金元素からなる
銅合金を堆積させ、当該銅合金上に合金元素の酸化物層
を形成することにより拡散障壁層及び自己保護層として
用いることによつて、新規な相互接続構造が形成され
る。図1は、銅合金プラグ30及び合金元素の酸化物層
32を有するVLSI相互接続構造28の一部にあるラ
イン又は凹所の断面の概略図である(図解の便宜をはか
る目的で凹所14をバイアと呼ぶが、この凹所14はラ
イン又は他の相互接続用の凹所であつても良い)。
【0015】相互接続構造はVLSIデバイスの一部分
であり、金属で充填された凹所を用いてVLSIデバイ
ス上の半導体領域、素子又は導電層を相互に接続する。
図1に示すように、相互接続構造28は銅導電ライン1
8を含む。絶縁層16は導電ライン上に形成され、その
中に周知のフオトリソグラフイ技術及びエツチング技術
によつて形成されたバイア14を含む。バイア14の幅
は一般的には1〔μm〕又はそれ以下の程度の大きさで
ある。例えば絶縁層16は二酸化ケイ素又はポリイミド
からなる酸素含有物質である。バイア14は絶縁層16
及び銅導電ライン18上に形成されるコンタクト又はラ
イン間に導電性接続を実現する手段である。
【0016】本発明の第1ステツプは、原子百分率 2.0
〔%〕以下に抑えた合金元素を含む銅合金を形成するこ
とである。当該合金は標準的な冶金合金技術によつて形
成される。当該合金銅のエレクトロマイグレーシヨン抵
抗は純粋な銅のエレクトロマイグレーシヨン抵抗と同様
である。本発明の方法のための銅合金の形成に使用し得
る適正な合金元素にはアルミニウム及びクロムが含まれ
る。
【0017】図2において本発明の一実施例における第
2ステツプは、蒸着又はスパツタリングなどの物理蒸着
(PVD)法又は化学蒸着(CVD)法によつて、凹所
14内に銅合金を堆積させることである。この堆積は 1
50〔℃〕以下の堆積温度により行われるので、この段階
では合金元素の酸化物は形成されない。次に図3に示す
ように、 250〔℃〕ないし 400〔℃〕の温度で使用温度
の程度によつて決まる30分間ないし1時間の間構造38
をアニール処理して、酸素含有絶縁体層16に接触して
いる銅合金プラグ42の表面及び露出しているプラグ4
2の表面だけに、合金元素の酸化物の薄膜層40を形成
させる。絶縁層16が二酸化ケイ素である場合、この薄
膜層40は合金元素の酸化物となる。絶縁層16がポリ
イミドである場合、合金元素はポリイミド内の酸素と同
様に炭素とも反応するので薄膜層40は合金元素の酸化
物−炭化物層となる。 250〔℃〕ないし 400〔℃〕の温
度において合金元素が、図3の矢印で示すように酸素と
接触している銅合金プラグ42の面に凝離し、酸素と反
応して酸化物層40を形成する。合金元素が絶縁層16
内の酸素と反応している間に、酸化物層40の小部分が
絶縁層16内に侵入する。図3の破線は、合金元素が絶
縁層16と反応して薄膜酸化物層40を形成する前の絶
縁層16の本来の境界を示す。酸化物層40の厚さは50
〔Å〕ないし100〔Å〕である。合金元素酸化物の生成
エネルギーは酸化銅の生成エネルギーよりも高いので、
合金元素酸化物が最初に生成され、銅が酸化物層40に
侵入することを阻止する。従つて酸化銅は形成されな
い。
【0018】最終的な結果として、図1に示すように銅
合金プラグ30及び酸化物層32からなるバイア、ライ
ン又は他の凹所14を有する相互接続構造28を得る。
合金元素の凝離に起因して、銅合金プラグ30は凝離以
前の銅合金内に元々含まれていた量の約半分の合金元素
を含む。例えば、銅合金が原子百分率 2.0〔%〕の合金
元素を含んでいるならば、銅合金プラグ30は原子百分
率 1.0〔%〕の銅合金を含むことになる。さらに、酸化
物層32は累進的な層であり、酸化物層32内の酸化物
濃度は面15、17及び19からそれぞれ面21、23
及び25に向けて漸増している。また本発明は相互接続
構造28を提供する。
【0019】絶縁層16上にさらに他の絶縁層を堆積さ
せ、絶縁層内に凹所を設けることによつてこれらの層内
に相互接続を生成させるようにしても良いことを当該分
野の知識を有する者は理解するであろう。絶縁層16上
に堆積される絶縁層がポリイミドの場合、それは、 350
〔℃〕ないし 400〔℃〕で30分間ないし1時間の養生処
理をする必要がある。この養生処理の間に、酸化物層4
0が生成される。かくして当該アニールステツプは独立
のステツプである必要はなく、その後の相互接続形成に
用いられる処理に組み込まれても良い。
【0020】本発明の精神及び範囲から脱することな
く、上述のステツプの順序を変更することができること
を当該分野の知識を有する者は理解できる。例えば、上
述したようにCVD又はPVDによつて、銅導電性ライ
ン18上に最初に銅合金が堆積させられても良い。次
に、当該堆積された合金は周知のリソグラフイ技術及び
エツチング技術によつてパターン化され得る。最後のス
テツプは絶縁層16を堆積させることである。絶縁層1
6がポリイミドである場合、上述のようにポリイミドに
養生処理を施さなければならない。絶縁層16が二酸化
ケイ素である場合、それはCVD法によつて堆積させら
れてもPVD法によつて堆積させられても良い。
【0021】本発明の他の実施例において、第2のすな
わち最終ステツプは、銅合金プラグ42及び薄膜酸化物
層40を単一ステツプにより形成する。プラグ42及び
酸化物層40は、合金元素の酸化物の薄膜層40を生じ
させる堆積温度で凹所14内に本発明の銅合金を堆積さ
せることにより形成される。この実施例においては、堆
積温度が 150〔℃〕ないし 250〔℃〕の範囲内にあれ
ば、銅合金をCVD法によつて堆積させることもでき、
PVD法によつて堆積させることもできる。この実施例
における酸化物層及び銅合金プラグの形成は図3に示す
ように上述したことと同様である。既に述べたように合
金元素が銅合金の、酸素と接触している面に凝離し、酸
素と反応して酸化物層40を形成する。合金元素及び絶
縁層16内の酸素間の反応に起因して、酸化物層40の
小部分は絶縁層16内に侵入する。合金元素酸化物の生
成エネルギーは酸化銅の生成エネルギーよりも高いの
で、酸化銅は生じない。
【0022】絶縁体が二酸化ケイ素であり、合金元素と
してアルミニウム又はクロムが使用される場合の薄膜層
32はそれぞれ、酸化アルミニウム(Al23 )又は
酸化クロム(Cr2 3 )からなる。酸化物層32は好
ましい機能を実現する。純粋な銅は絶縁体に良好には接
着しない。しかしながら純粋なアルミニウム及び純粋な
クロムは、純粋な銅より格段的に良好に酸素含有絶縁体
に接着する。さらに、酸化アルミニウム及び酸化クロム
は極めて優れた銅との接着性を有している。従つて酸化
物層32は接着剤として作用し、銅合金を酸素含有絶縁
体層16に接着させることができる。2番目の機能とし
て酸化物層32は表面保護層として作用し、これにより
堆積した銅合金の耐食性を改善する。最後の機能として
酸化物層32は、例えば丘状の物理的な歪みを生じさせ
ない点において改善をする。
【0023】本発明は、従来技術の接着層を使用するB
EOL銅金属処理を大幅に改善する。まず第1に、本発
明の方法はただ1つの堆積ステツプを使用するが、従来
の技術は2つの堆積ステツプを必要とし、そのうちの1
つは接着層を堆積させるステツプであり第2のステツプ
は銅プラグを堆積させるステツプである。第2に、酸化
物層32は絶縁層16の内側に偏つて生じ、しかも50
〔Å〕ないし 100〔Å〕の厚さに過ぎないので、銅合金
プラグ30の利用し得る断面積を増大させ、これによつ
てミクロン以下のラインの電流導通能力を増大させる。
さらに、銅合金プラグ30のコンダクタンスは純粋な銅
のコンダクタンスより小さいが、原子百分率 1.0〔%〕
以下に合金元素を抑えることにより、合金に起因するコ
ンダクタンスの低下を銅合金プラグ30の断面積を増大
させることによつて相殺する。酸素と接触している銅合
金の面だけに酸化物層が生成するので、銅合金プラグ3
0は銅導電ライン18に直接接触する。かくして本発明
の金属処理は、接着層を使用する従来技術の金属処理に
存在する直列抵抗及び接触抵抗を除去できる。
【0024】図4は、本発明の方法に従つて形成された
銅合金プラグ46及び酸化物層48を有する他のVLS
I相互接続構造44の一部にあるライン又は凹所を示す
断面図である。構造44はシリコン基板26を含み、シ
リコン基板26内にはケイ化金属コンタクト24が形成
される。例えばケイ化金属コンタクト24は金属酸化膜
半導体(MOS)型のVLSI素子のソース領域、ドレ
イン領域又はゲート領域に形成されたケイ化金属コンタ
クトとして使用し得る。コンタクト24はケイ化タンタ
ル(TaSi)又はケイ化コバルト(CoSi)に
よつて構成するようにしても良い。バイア14はコンタ
クト24への導電性接続を果たすための手段である。さ
らに構造44は凹所14の底部に形成された拡散障壁層
50(耐火性金属からなる)を含むことにより、銅合金
プラグ46内の銅が基板26内に拡散するのを防ぐ。ケ
イ化物コンタクト24内には酸素原子が存在しないので
凹所14の底部に酸化物層は生成せず、このため拡散障
壁層50が必要となる。
【0025】図1、図2及び図3を参照して上述した本
発明の方法の実施例を、銅合金プラグ46及び酸化物層
48の形成に関して図4にも同じように適用することが
できる。図4の酸化物層48は、図3の酸化物層32と
同一の好ましい機能を実現する。本発明は本発明の方法
を使用することにより得られる相互接続構造44を提供
する。
【0026】図4の構造44内に本発明を使用すること
は、従来技術の拡散障壁接着層を使用するBEOL銅金
属処理を大幅に改善する。従来技術の相互接続構造22
は、図6に示すようなU形の拡散障壁接着層20を必要
とする。しかしながら本発明は、図4に示すように拡散
障壁50及び酸化物層48だけを必要とする。酸化物層
48は絶縁層16内に偏つて生成し、しかもその厚さは
50〔Å〕ないし 100〔Å〕に過ぎないので、利用できる
銅合金プラグ46の断面積が増大し、これによりミクロ
ン以下のラインの電流導通能力が増大する。
【0027】上述の通り本発明をその最適な実施例に基
づいて特定的に図示、説明したが、本発明の精神及び範
囲から脱することなく形式及び詳細構成の双方について
種々の変更を加えても良い。
【0028】
【発明の効果】上述のように本発明によれば、合金元素
の酸化物層を設けるようにしたことにより、第1に、当
該酸化物層が接着層として作用することにより、銅合金
を酸素含有絶縁体に接着できるようにする。また第2
に、この酸化物層は拡散障壁として作用し、これによつ
てラインすなわち凹所内に銅合金を封じ込める。さらに
第3に、この酸化物層は表面保護層として作用し、これ
によつて堆積した銅合金に耐食性を与える。さらに第4
に、この酸化物層は小丘の形成を防止する。
【図面の簡単な説明】
【図1】図1は本発明の方法により銅合金を堆積させる
ことにより薄膜酸化物層を形成した後のVLSI相互接
続構造の一部にあるライン又は凹所を示す断面図であ
る。
【図2】図2は本発明の方法により銅合金を堆積させた
後のVLSI相互接続構造の一部にあるライン又は凹所
を示す断面図である。
【図3】図3は本発明の方法により薄膜酸化物層を形成
中のVLSI相互接続構造の一部にあるライン又は凹所
を示す断面図である。
【図4】図4は本発明の方法により銅合金を堆積させる
ことにより薄膜酸化物層を形成した後の、他のVLSI
相互接続構造の一部にあるライン又は凹所を示す断面図
である。
【図5】図5は従来技術の接着層を使用するVLSI相
互接続構造の一部にあるライン又は凹所を示す断面図で
ある。
【図6】図6は従来技術による拡散障壁接着層を使用す
る他のVLSI相互接続構造の一部にあるライン又は凹
所を示す断面図である。
【符号の説明】
10、22、28、38、44……VLSI相互接続構
造、12、30、42、46……銅合金プラグ、14…
…バイアすなわち凹所、15、17、19、21、2
3、25……酸化物層の面、16……酸素含有絶縁層、
18……銅の導電ライン、20……接着層、24……ケ
イ化金属コンタクト、26……シリコン基板、32、4
0、48……合金元素の酸化物層、36……銅合金、5
0……拡散障壁層。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 カレン・リン・ホロウエイ アメリカ合衆国、ニユーヨーク州10549、 マウント・キスコ、アパートメント2、ウ エスト・ストリート 2番地 (72)発明者 トーマス・ユ−キウ・クオツク アメリカ合衆国、ニユージヤージー州 07675、ウエストウツド、ビーチ・ストリ ート 735番地 (56)参考文献 特開 平2−114639(JP,A) 特開 昭64−77143(JP,A) 特開 昭61−243447(JP,A) 特開 昭64−64338(JP,A)

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】基板の1つの主要な平面上に配置された酸
    素含有絶縁体層内に形成されたVLSI相互接続構造の
    凹所内に銅合金導電プラグを形成する方法において、 銅及び原子百分率2.0〔%〕未満の合金元素からなる
    銅合金を形成するステツプと、 上記相互接続構造の凹所内に上記銅合金を堆積させると
    共に、銅合金プラグ並びに上記プラグの露出している表
    面及び上記プラグの上記酸素含有絶縁体層に接触してい
    る面に上記合金元素の酸化物の薄膜層を形成するステツ
    プとを含むことを特徴とする銅合金導電プラグ形成方
    法。
  2. 【請求項2】上記堆積ステツプは、上記銅合金の堆積と
    同時に上記薄膜酸化物層を形成することができる堆積温
    度により上記銅合金を堆積させる処理を含むことを特徴
    とする請求項1に記載の銅合金導電プラグ形成方法。
  3. 【請求項3】上記ステツプは、 上記相互接続構造の凹所内に上記銅合金を堆積させる処
    理と、 上記相互接続構造をアニール処理することにより上記薄
    膜酸化物層を形成させる処理とを含むことを特徴とする
    請求項1に記載の銅合金導電プラグ形成方法。
  4. 【請求項4】さらに上記基板は、上記凹所の底部に形成
    され、上記基板の上部面の一部及び上記プラグの底部面
    に接触している拡散障壁層と、 上記基板の上部内に形成され、上記障壁層の底部面に接
    触しているケイ化金属層とを含むことを特徴とする請求
    項1に記載の銅合金導電プラグ形成方法。
  5. 【請求項5】上記堆積ステツプはスパツタリング又は蒸
    着又は化学蒸着(CVD)法によつて堆積されることを
    特徴とする請求項1に記載の銅合金導電プラグ形成方
    法。
  6. 【請求項6】1つの主要な平面上に形成された少なくと
    も1つの酸素含有絶縁体層を有する基板と、 上記酸素含有絶縁体層に設けられた凹所内に形成された
    導電性プラグを含み、上記導電性プラグは、銅及び原子
    百分率2.0〔%〕未満の合金元素からなる銅合金並び
    に上記銅合金の露出している表面及び上記銅合金の上記
    酸素含有絶縁体層に接触している面に形成された上記合
    金元素の酸化物層とを含むことを特徴とするVLSI相
    互接続構造。
  7. 【請求項7】さらに上記基板は、 上記凹所の底部に形成され、上記プラグの底部面及び上
    記基板の上部面の一部に接触している拡散障壁層と、 上記基板の上部内に形成され、上記障壁層の底部面に接
    触しているケイ化金属層とを含むことを特徴とする請求
    項6に記載のVLSI相互接続構造。
  8. 【請求項8】上記酸素含有絶縁体層は二酸化ケイ素及び
    ポリイミドからなるグループから選択された絶縁体を含
    むことを特徴とする請求項6に記載のVLSI相互接続
    構造。
  9. 【請求項9】上記合金元素はクロム及びアルミニユウム
    からなるグループから選択された元素を含むことを特徴
    とする請求項6に記載のVLSI相互接続構造。
  10. 【請求項10】上記酸化物層は酸化クロム及び酸化アル
    ミニユウムからなるグループから選択された酸化物であ
    ることを特徴とする請求項6に記載のVLSI相互接続
    構造。
  11. 【請求項11】上記基板は銅を含むことを特徴とする請
    求項6に記載のVLSI相互接続構造。
JP4081500A 1991-04-05 1992-03-03 銅合金導電プラグ形成方法及び装置 Expired - Fee Related JPH0760852B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/681,798 US5130274A (en) 1991-04-05 1991-04-05 Copper alloy metallurgies for VLSI interconnection structures
US07/681798 1991-04-05

Publications (2)

Publication Number Publication Date
JPH05102318A JPH05102318A (ja) 1993-04-23
JPH0760852B2 true JPH0760852B2 (ja) 1995-06-28

Family

ID=24736866

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4081500A Expired - Fee Related JPH0760852B2 (ja) 1991-04-05 1992-03-03 銅合金導電プラグ形成方法及び装置

Country Status (3)

Country Link
US (1) US5130274A (ja)
EP (1) EP0508156A1 (ja)
JP (1) JPH0760852B2 (ja)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2534434B2 (ja) * 1992-04-30 1996-09-18 インターナショナル・ビジネス・マシーンズ・コーポレイション 耐酸化性化合物およびその製造方法
EP0601509A1 (en) * 1992-12-07 1994-06-15 Nikko Kyodo Co., Ltd. Semiconductor devices and method of manufacturing the same
US5288456A (en) * 1993-02-23 1994-02-22 International Business Machines Corporation Compound with room temperature electrical resistivity comparable to that of elemental copper
JP3326698B2 (ja) * 1993-03-19 2002-09-24 富士通株式会社 集積回路装置の製造方法
US5681779A (en) * 1994-02-04 1997-10-28 Lsi Logic Corporation Method of doping metal layers for electromigration resistance
US5565707A (en) * 1994-10-31 1996-10-15 International Business Machines Corporation Interconnect structure using a Al2 Cu for an integrated circuit chip
KR0144085B1 (ko) * 1994-12-05 1998-08-17 김주용 반도체 소자의 금속배선 형성방법
KR0179822B1 (ko) * 1995-04-01 1999-04-15 문정환 반도체 장치의 배선 구조 및 그 제조 방법
US6084302A (en) * 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US5913144A (en) * 1996-09-20 1999-06-15 Sharp Microelectronics Technology, Inc. Oxidized diffusion barrier surface for the adherence of copper and method for same
US6391754B1 (en) * 1996-09-27 2002-05-21 Texas Instruments Incorporated Method of making an integrated circuit interconnect
US5918150A (en) * 1996-10-11 1999-06-29 Sharp Microelectronics Technology, Inc. Method for a chemical vapor deposition of copper on an ion prepared conductive surface
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6037257A (en) * 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
US6387805B2 (en) 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
US6211073B1 (en) 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6516030B1 (en) 1998-05-14 2003-02-04 Interval Research Corporation Compression of combined black/white and color video signal
EP1112125B1 (en) * 1998-06-30 2006-01-25 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6218302B1 (en) 1998-07-21 2001-04-17 Motorola Inc. Method for forming a semiconductor device
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6288442B1 (en) 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
US6570924B1 (en) 1998-11-20 2003-05-27 Interval Research Corp Low cost video compression using fast, modified Z-coding of wavelet pyramids
US6541858B1 (en) * 1998-12-17 2003-04-01 Micron Technology, Inc. Interconnect alloys and methods and apparatus using same
US6656828B1 (en) 1999-01-22 2003-12-02 Hitachi, Ltd. Method of forming bump electrodes
JP3974284B2 (ja) 1999-03-18 2007-09-12 株式会社東芝 半導体装置の製造方法
US6521532B1 (en) 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
US6551872B1 (en) 1999-07-22 2003-04-22 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
TW476134B (en) * 2000-02-22 2002-02-11 Ibm Method for forming dual-layer low dielectric barrier for interconnects and device formed
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6423629B1 (en) 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6326306B1 (en) * 2001-02-15 2001-12-04 United Microelectronics Corp. Method of forming copper dual damascene structure
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6706629B1 (en) * 2003-01-07 2004-03-16 Taiwan Semiconductor Manufacturing Company Barrier-free copper interconnect
US6943111B2 (en) * 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
US6740392B1 (en) 2003-04-15 2004-05-25 Micron Technology, Inc. Surface barriers for copper and silver interconnects produced by a damascene process
JP3964822B2 (ja) * 2003-05-07 2007-08-22 日東電工株式会社 回路付サスペンション基板の製造方法
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
JP4478038B2 (ja) 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
US20050230262A1 (en) * 2004-04-20 2005-10-20 Semitool, Inc. Electrochemical methods for the formation of protective features on metallized features
JP5068925B2 (ja) * 2004-09-03 2012-11-07 Jx日鉱日石金属株式会社 スパッタリングターゲット
JP4589835B2 (ja) * 2005-07-13 2010-12-01 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
JP5076482B2 (ja) 2006-01-20 2012-11-21 富士通セミコンダクター株式会社 半導体装置の製造方法
US7956465B2 (en) * 2006-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures of integrated circuits
US7919862B2 (en) * 2006-05-08 2011-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures of integrated circuits
US7612451B2 (en) * 2006-07-13 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures by forming an inter-layer
US8242016B2 (en) 2007-05-14 2012-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Approach for reducing copper line resistivity
JP2008300568A (ja) * 2007-05-30 2008-12-11 Tokyo Electron Ltd 半導体装置の製造方法、半導体製造装置及び記憶媒体
US7858513B2 (en) * 2007-06-18 2010-12-28 Organicid, Inc. Fabrication of self-aligned via holes in polymer thin films
US7888169B2 (en) 2007-12-26 2011-02-15 Organicid, Inc. Organic semiconductor device and method of manufacturing the same
JPWO2013153777A1 (ja) * 2012-04-11 2015-12-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置、半導体製造装置
JP5554364B2 (ja) * 2012-04-12 2014-07-23 Jx日鉱日石金属株式会社 半導体用銅合金配線及びスパッタリングターゲット並びに半導体用銅合金配線の形成方法
US8969197B2 (en) * 2012-05-18 2015-03-03 International Business Machines Corporation Copper interconnect structure and its formation
JP5694503B2 (ja) * 2013-12-27 2015-04-01 Jx日鉱日石金属株式会社 自己拡散抑制機能を有するシード層及び自己拡散抑制機能を備えたシード層の形成方法
WO2018236882A1 (en) 2017-06-19 2018-12-27 The Trustees Of The University Of Pennsylvania COPPER ALLOYS FOR INTERCONNECTORS AND METHODS OF MAKING THE SAME

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3631304A (en) * 1970-05-26 1971-12-28 Cogar Corp Semiconductor device, electrical conductor and fabrication methods therefor
JPS5533004A (en) * 1978-08-29 1980-03-08 Tamagawa Kikai Kinzoku Kk Lead material for semiconductor
JPS55107257A (en) * 1979-01-08 1980-08-16 Toshiba Corp Ohmic electrode
US4434434A (en) * 1981-03-30 1984-02-28 International Business Machines Corporation Solder mound formation on substrates
US4816425A (en) * 1981-11-19 1989-03-28 Texas Instruments Incorporated Polycide process for integrated circuits
JPS607162A (ja) * 1983-06-24 1985-01-14 Nec Home Electronics Ltd 半導体装置の製造方法
US4566177A (en) * 1984-05-11 1986-01-28 Signetics Corporation Formation of electromigration resistant aluminum alloy conductors
JPS61243447A (ja) * 1985-04-22 1986-10-29 Asahi Chem Ind Co Ltd パタ−ン形成方法
JPS62113421A (ja) * 1985-11-13 1987-05-25 Toshiba Corp 半導体装置の製造方法
JPS62214632A (ja) * 1986-03-14 1987-09-21 Sanyo Electric Co Ltd 混成集積回路
DE3782904T2 (de) * 1986-09-17 1993-04-08 Fujitsu Ltd Verfahren zur ausbildung einer kupfer enthaltenden metallisierungsschicht auf der oberflaeche eines halbleiterbauelementes.
US4784973A (en) * 1987-08-24 1988-11-15 Inmos Corporation Semiconductor contact silicide/nitride process with control for silicide thickness
JPS6464338A (en) * 1987-09-04 1989-03-10 Hitachi Ltd Wiring for semiconductor device
JPS6477143A (en) * 1987-09-18 1989-03-23 Toshiba Corp Formation of copper thin film wiring
JP2511289B2 (ja) * 1988-03-30 1996-06-26 株式会社日立製作所 半導体装置
JPH0250432A (ja) * 1988-08-12 1990-02-20 Toshiba Corp 半導体装置
JP2808616B2 (ja) * 1988-10-25 1998-10-08 セイコーエプソン株式会社 半導体装置の製造方法
JP2839579B2 (ja) * 1989-10-02 1998-12-16 株式会社東芝 半導体装置及びその製造方法

Also Published As

Publication number Publication date
JPH05102318A (ja) 1993-04-23
US5130274A (en) 1992-07-14
EP0508156A1 (en) 1992-10-14

Similar Documents

Publication Publication Date Title
JPH0760852B2 (ja) 銅合金導電プラグ形成方法及び装置
US5243222A (en) Copper alloy metallurgies for VLSI interconnection structures
US6218302B1 (en) Method for forming a semiconductor device
EP0430403B1 (en) Method for fabricating interlevel contacts
JP3121589B2 (ja) 相互接続構造部、及び、その形成方法
US5977634A (en) Diffusion barrier for electrical interconnects in an integrated circuit
JP4346866B2 (ja) TaN材料のバリア層を含む構造
US6090710A (en) Method of making copper alloys for chip and package interconnections
US7061111B2 (en) Interconnect structure for use in an integrated circuit
US5623166A (en) Al-Ni-Cr conductive layer for semiconductor devices
JP4502528B2 (ja) 相互接続用の2重層低誘電性バリアを形成する方法および形成された装置
JPS6039866A (ja) 集積半導体回路
US4903117A (en) Semiconductor device
US5494860A (en) Two step annealing process for decreasing contact resistance
US5294836A (en) Semiconductor device having a wiring strip of noble metal and process of fabricating the semiconductor device
JPH05211147A (ja) アルミニウム配線およびその形成方法
JP3128961B2 (ja) 半導体装置及びその製造方法
JPH06236878A (ja) 金属配線
US7241685B2 (en) Semiconductor device and method of manufacturing the same
US6242811B1 (en) Interlevel contact including aluminum-refractory metal alloy formed during aluminum deposition at an elevated temperature
JP3368629B2 (ja) 半導体装置
JP3178605B2 (ja) 半導体装置
JP3394155B2 (ja) 金属薄膜形成方法
JP2559829B2 (ja) 半導体装置および半導体装置の製造方法
JPH05102154A (ja) 半導体装置

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees