JPH05304213A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JPH05304213A
JPH05304213A JP4095117A JP9511792A JPH05304213A JP H05304213 A JPH05304213 A JP H05304213A JP 4095117 A JP4095117 A JP 4095117A JP 9511792 A JP9511792 A JP 9511792A JP H05304213 A JPH05304213 A JP H05304213A
Authority
JP
Japan
Prior art keywords
silicon oxide
oxide film
layer wiring
lower layer
cvd method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP4095117A
Other languages
English (en)
Other versions
JP2773530B2 (ja
Inventor
Tetsuya Honma
哲哉 本間
Mieko Suzuki
美惠子 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP4095117A priority Critical patent/JP2773530B2/ja
Priority to US08/045,791 priority patent/US5420075A/en
Publication of JPH05304213A publication Critical patent/JPH05304213A/ja
Application granted granted Critical
Publication of JP2773530B2 publication Critical patent/JP2773530B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/98Utilizing process equivalents or options

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【構成】酸化シリコン膜2が形成された半導体基板1
に、窒化チタン3、アルミニウム−シリコン−銅4、チ
タン−タングステン5を順次堆積したのち、エッチング
して下層配線を形成する。つぎに弗素化合物ガスを用い
た反応性イオンエッチングによりチタン−タングステン
5の表面処理を行なう。つぎに露出した酸化シリコン膜
2上に、酸化シリコン膜7を選択的に堆積して下層配線
を埋め込む。つぎに酸化シリコン膜8を堆積したのち、
スルーホール10を開口する。つぎに窒化チタン13、
アルミニウム−シリコン−銅14、チタン−タンズステ
ン15からなる上層配線を形成する。 【効果】下層配線の間に選択的に酸化シリコン膜を埋め
込んで、完全に平坦な層間絶縁膜を形成することができ
る。上層配線のオープンやショートを防止して、歩留良
く信頼性の高い多層配線を形成することができる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置の製造方法に
関し、特に多層配線用の層間絶縁膜の形成方法に関する
ものである。
【0002】
【従来の技術】従来の多層配線用の層間絶縁膜の形成方
法として、特開平2−209753の方法について図4
(a)〜(d)を参照して説明する。
【0003】はじめに図4(a)に示すように、シリコ
ン基板1aに下層アルミニウム配線4aを形成する。
【0004】つぎに図4(b)に示すように、厚さ0.
2〜0.3μmのプラズマSiO2膜6bを堆積する。
つぎにTEOSおよびオゾンを用いた常圧CVD(化学
気相成長)法により、350℃で厚さ約2μmのTEO
S−SiO2 膜7aを堆積する。TEOSは化学式Si
(OC2 5 4 で表わされ、テトラエチルオルソシリ
ケートまたはテトラエトキシシランと呼ばれている。
【0005】つぎに図4(c)に示すように、再びプラ
ズマSiO2 膜8aを堆積したのち、レジスト9をパタ
ーニングする。
【0006】つぎに図4(d)に示すように、レジスト
9をマスクとしてプラズマSiO2膜8a、TEOS−
SiO2 膜7a、プラズマSiO2 膜6bをエッチング
してスルーホールを開口する。つぎに上層アルミニウム
配線14aを形成したのち、380℃で熱処理して下層
アルミニウム配線4aとの電気的接続を良好にさせる。
【0007】
【発明が解決しようとする課題】半導体基板表面に下層
配線などが形成されていると、その上にCVD法によっ
て層間絶縁膜を堆積したときに下地の凹凸を大なり小な
り反映する。層間絶縁膜表面に凹凸が残るので平坦化が
難しい。
【0008】その上に上層配線を形成するとき凹部に配
線金属が残留してショートする。また上層配線が断線し
てオープンになる。ショートやオープンが発生して歩留
が低下するという問題がある。
【0009】さらに上層配線のステップカバレッジ(段
差被覆性)が悪くなってストレスマイグレーションやエ
レクトロマイグレーションが生じる。上層配線が断線し
易くなり、多層配線の信頼性を低下させるという問題が
ある。
【0010】一方、従来のTEOSおよびオゾンを用い
るCVD法によって堆積した酸化シリコン膜は、多量の
水分を含んでいる。上層配線となる金属膜を堆積すると
きにスルーホールの側面から水分が放出されて接続抵抗
が増大する。そのため多層配線の歩留や信頼性を低下さ
せるという問題がある。
【0011】3層以上の多層配線を形成すると、各層に
全く配線のない部分と、配線層が重なった部分との絶対
段差が大きくなる。製造工程において半導体基板上にレ
ジストをパターニングするとき、レジストパターンの寸
法精度が低下するという問題がある。
【0012】このように微細寸法の多層配線を形成する
ことは極めて困難であった。
【0013】
【課題を解決するための手段】本発明の第1の半導体装
置の製造方法は、第1の酸化シリコン膜を隔てて下層配
線が形成された半導体基板の一主面に第2の酸化シリコ
ン膜を堆積する工程と、弗素化合物ガスを用いた反応性
イオンエッチングを行なって、前記下層配線に前記第2
の酸化シリコン膜からなるサイドウォールを形成すると
同時に、前記下層配線の表面処理を行なう工程と、有機
シリコン化合物ガスおよび酸化性ガスをソースガスとす
るCVD法によって下層配線の間に、選択的に第3の酸
化シリコン膜を埋め込む工程と、全面に第4の酸化シリ
コン膜を堆積したのち、前記下層配線に接続するスルー
ホールを開口する工程と、前記下層配線に接続する上層
配線を形成する工程とを含むものである。
【0014】本発明の第2の半導体装置の製造方法は、
第1の酸化シリコン膜を隔てて下層配線が形成された半
導体基板の一主面に弗素化合物ガスを用いた反応性イオ
ンエッチングを行なって、前記下層配線の表面処理を行
なう工程と、有機シリコン化合物ガスおよび酸化性ガス
をソースガスとするCVD法によって下層配線の間に、
選択的に第3の酸化シリコン膜を埋め込む工程と、全面
に第4の酸化シリコン膜を堆積したのち、前記下層配線
に接続するスルーホールを開口する工程と、前記下層配
線に接続する上層配線を形成する工程とを含むものであ
る。
【0015】
【実施例】本発明の第1の実施例について、図1(a)
〜(d)を参照して説明する。
【0016】はじめに図1(a)に示すように、半導体
基板1上にプラズマCVD法により厚さ0.5μmの酸
化シリコン膜2、厚さ0.15μmの窒化チタン3、厚
さ約0.55μmのアルミニウム−シリコン−銅4、厚
さ0.1μmのチタン−タングステン5を順次堆積す
る。つぎにレジスト(図示せず)をマスクとしてエッチ
ングして、窒化チタン3、アルミニウム−シリコン−銅
4、チタン−タングステン5からなる下層配線を形成し
たのち、レジストを除去する。
【0017】つぎに圧力5Pa、CHF3 およびO
2 (酸素)の流量をそれぞれ30sccmおよび5sc
cmとし、高周波電力を1kWとする反応性イオンエッ
チングにより2分間エッチングしてチタン−タングステ
ン5の表面処理を行なう。
【0018】つぎに図1(b)に示すように、TEOS
およびオゾンの流量比を20:1とし、基板温度を35
0℃とする常圧CVD法によって、厚さ0.8μmの酸
化シリコン膜7を露出した酸化シリコン膜2上に選択的
に堆積する。このときTEOSおよびオゾンの化学反応
によって、Si−O結合をもつシロキサン前駆物質(p
recursor)が形成される。反応性イオンエッチ
ングによって、チタン−タングステン5表面はぬれ性が
悪くなるので、酸化シリコン膜は堆積しない。
【0019】つぎに図1(c)に示すように、プラズマ
CVD法により全面に厚さ0.8μmの酸化シリコン膜
8を堆積したのち、レジスト(図示せず)をマスクとし
てエッチングしてスルーホール10を開口する。
【0020】つぎに図1(d)に示すように、スパッタ
法により厚さ0.15μmの窒化チタン13、厚さ0.
55μmのアルミニウム−シリコン−銅14、厚さ0.
1μmのチタン−タンズステン15を堆積する。つぎに
レジスト(図示せず)をマスクとしてエッチングを行な
うことによりチタンタングステン15、アルミニウム−
シリコン−銅14、窒化チタン13からなる上層配線を
形成する。
【0021】このようにして形成した2層配線は、完全
に平坦な層間絶縁膜を有するので、上層配線のオープン
やショートは皆無であった。直径0.8μmのスルーホ
ールを5万個、直列に接続したテストパターンを用いて
接続抵抗を測定した結果、スルーホール1個当り約0.
2Ωで、良品率98%という良好なデータが得られた。
【0022】さらに175℃の温度で5000時間の試
験により下層配線のストレスマイグレーション耐性を調
べたところ、オープンや抵抗値の増加は皆無であった。
200℃の温度で、電流密度2×106 A/cm2 の条
件でエレコトロマイグレーション耐性を評価したとこ
ろ、累積不良率が50%になるまでの時間が従来に比べ
て10%長くなった。
【0023】つぎに本発明の第2の実施例について、図
2(a)〜(d)および図3(a)〜(c)を参照して
説明する。
【0024】はじめに図2(a)に示すように、厚さ
0.5μmの酸化シリコン膜2が形成された半導体基板
1上にプラズマCVD法により厚さ0.15μmの窒化
チタン3、厚さ0.55μmのアルミニウム−シリコン
−銅4、厚さ0.1μmのチタン−タングステン5を順
次堆積したのち、レジスト(図示せず)をマスクとして
選択エッチングして、下層配線を形成する。
【0025】つぎにプラズマCVD法により厚さ0.3
μmの酸化シリコン膜6を堆積する。
【0026】つぎに図2(b)に示すように、CHF3
の流量を30sccmとし、圧力5Pa、高周波電力1
kWの条件で、反応性イオンエッチングを行なってチタ
ン−タングステン5上の酸化シリコン膜6が完全になく
なるまでエッチバックして、酸化シリコン膜6からなる
サイドウォール(側壁)6aを形成する。
【0027】このエッチバックによって露出したチタン
−タングステン5の表面処理が行なわれる。酸化シリコ
ン膜6の厚さは、下層配線の最小配線間隔の2分の1以
下が好ましい。
【0028】つぎに図2(c)に示すように、TEOS
およびオゾンを用いた常圧CVD法によって、厚さ0.
8μmの酸化シリコン膜7を露出した酸化シリコン膜2
上に選択的に堆積する。
【0029】つぎに図2(d)に示すように、プラズマ
CVD法により厚さ0.8μmの酸化シリコン膜8を堆
積する。
【0030】つぎに図3(a)に示すように、レジスト
(図示せず)をマスクとして酸化シリコン膜8をドライ
エッチングしてスルーホル10を開口する。
【0031】つぎに図3(b)に示すように、スパッタ
法により厚さ0.15μmの窒化チタン11を堆積した
のち、CVD法によりタングステン12を堆積してスル
ーホール10を埋め込む。つぎに反応性イオンエッチン
グにより、酸化シリコン膜8上のタングステン12およ
び窒化チタン11をエッチバックする。
【0032】つぎに図3(c)に示すように、スパッタ
法により厚さ0.15μmの窒化チタン13、厚さ0.
55μmのアルミニウム−シリコン−銅14、厚さ0.
1μmのチタン−タングステン15を堆積する。つぎに
レジスト(図示せず)をマスクとしてチタンタングステ
ン15、アルミニウム−シリコン−銅14、窒化チタン
13をエッチングすることにより上層配線を形成する。
【0033】本実施例においても上層配線のオープンや
ショートは皆無であった。直径0.8μmのスルーホー
ルを5万個、直列に接続したテストパターンを用いて接
続抵抗を測定した結果、スルーホール1個当り約0.2
5Ωで、良品率98%という良好なデータが得られた。
【0034】さらに下層配線のストレスマイグレーショ
ン耐性およびエレコトロマイグレーション耐性について
も、第1の実施例と同様に優れたデータが得られた。
【0035】つぎに本発明の第3の実施例について説明
する。
【0036】本実施例においては、第1の実施例の図1
(b)の酸化シリコン膜7の堆積工程を、特願平3−1
36426および特願平3−006312で用いた方法
に変更した。その内容についてつぎに述べる。
【0037】流量100sccmおよび75sccmの
2 (窒素)をキャリアガスを用いて、それぞれ50℃
のフルオロトリエトキシシランおよび50℃の純水を気
化させて、圧力を700Torrの保ったCVD反応室
に導入する。25℃の基板温度で露出した酸化シリコン
膜上に弗素含有酸化シリコン膜を選択的に堆積する。
【0038】はじめに図1(a)に示すように、酸化シ
リコン膜2が形成された半導体基板1上にスパッタ法に
より窒化チタン3、アルミニウム−シリコン−銅4、チ
タン−タングステン5を堆積する。つぎにレジスト(図
示せず)をマスクとしてエッチングして下層配線を形成
したのち、レジストを除去する。つぎにSF6 (6弗化
硫黄)を用いた反応性イオンエッチングを行なって、チ
タン−タングステン5の表面処理を行なう。
【0039】つぎに図1(b)に示すように、フルオロ
トリエトキシシランおよび水蒸気を用いた常圧CVD法
によって露出した酸化シリコン膜2上に選択的に弗素含
有酸化シリコン膜7を堆積する。
【0040】このあとは第1の実施例と同様の工程を経
て上層配線を形成したのち特性を評価した結果、弗素含
有酸化シリコン膜を選択的に堆積した本実施例において
も同様の良好なデータを得ることができた。
【0041】以上の実施例において下層配線および上層
配線に窒化チタン、アルミニウム−シリコン−銅、チタ
ン−タングステンの3層構造を用いた。その代りにアル
ミニウム、アルミニウム合金(アルミニウム−シリコン
−銅など)、ポリシリコン、金、チタン、タングステ
ン、モリブデン、チタン−タングステンのうち1つ以上
(単層または多層)を用いることができる。
【0042】プラズマCVD法による酸化シリコン膜の
代りにスパッタ法、熱CVD法、光化学CVD法、液相
成長法のいずれかによる酸化シリコン膜を用いることが
できる。
【0043】反応性イオンエッチングによって下層配線
の表面処理を行なうときの弗素化合物ガスとして、CH
3 、SF6 の代りに弗化炭素化合物、弗化硫黄化合物
などを用いることができる。
【0044】常圧CVD法によって酸化シリコン膜を選
択的に堆積する方法も、つぎのように変更することがで
きる。有機シロキサン、有機シラン、フロオロアルコキ
シシランのうち1つ以上と、オゾン、酸素、亜酸化2窒
素、水蒸気のうち1つ以上とを用いて、常圧CVD法、
加圧CVD法、液相化学CVD法のいずれかによって酸
化シリコン膜を選択的に堆積することができる。
【0045】さらに本発明の適用範囲は2層配線に限定
されることなく、3層以上の多層配線に適用することが
できる。
【0046】
【発明の効果】下層配線が形成された半導体基板表面を
弗素化合物ガスを用いた反応性イオンエッチングにより
処理して、下層配線表面のぬれ性を悪くする。そのあと
下層配線の間に露出した酸化シリコン膜上に選択的に酸
化シリコン膜を埋め込むことができる。
【0047】その結果、完全に平坦な層間絶縁膜を形成
することができる。多層配線の形成が容易になった。上
層配線のショートやオープンを防止して歩留が向上し
た。
【0048】下層配線のストレスマイグレーションやエ
レクトロマイグレーションに対する耐性が向上して、信
頼性が著しく高められた。
【0049】本発明ではTEOSおよびオゾンを用いた
常圧CVD法によって堆積した酸化シリコン膜が、スル
ーホール側面に露出することはない。そのためスルーホ
ールにおける下層配線と上層配線との接続抵抗が増大す
るという問題を解消することができた。信頼性の高い半
導体装置を高い歩留で生産することが可能になった。
【0050】さらに半導体基板の表面を完全に平坦化す
ることができるので、容易に寸法精度の高いレジストパ
ターンを形成することができる。本発明により微細寸法
の多層配線の形成が可能になった。
【図面の簡単な説明】
【図1】本発明の第1の実施例を工程順に示す断面図で
ある。
【図2】本発明の第2の実施例の前半工程を示す断面図
である。
【図3】本発明の第2の実施例の後半工程を示す断面図
である。
【図4】従来の多層配線の形成方法を示す断面図であ
る。
【符号の説明】
1 半導体基板 1a シリコン基板 2 酸化シリコン膜 3 窒化チタン 4 アルミニウム−シリコン−銅 4a 下層アルミニウム配線 5 チタン−タングステン 6 酸化シリコン膜 6a サイドウォール 6b プラズマSiO2 膜 7 酸化シリコン膜 7a TEOS−SiO2 膜 8 酸化シリコン膜 8a プラズマSiO2 膜 9 レジスト 10 スルーホール 11 窒化チタン 12 タングステン 13 窒化チタン 14 アルミニウム−シリコン−銅 14a 上層アルミニウム配線 15 チタン−タングステン

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 第1の酸化シリコン膜を隔てて下層配線
    が形成された半導体基板の一主面に第2の酸化シリコン
    膜を堆積する工程と、弗素化合物ガスを用いた反応性イ
    オンエッチングを行なって、前記下層配線に前記第2の
    酸化シリコン膜からなるサイドウォールを形成すると同
    時に、前記下層配線の表面処理を行なう工程と、有機シ
    リコン化合物ガスおよび酸化性ガスをソースガスとする
    CVD法によって下層配線の間に、選択的に第3の酸化
    シリコン膜を埋め込む工程と、全面に第4の酸化シリコ
    ン膜を堆積したのち、前記下層配線に接続するスルーホ
    ールを開口する工程と、前記下層配線に接続する上層配
    線を形成する工程とを含む半導体装置の製造方法。
  2. 【請求項2】 第1の酸化シリコン膜を隔てて下層配線
    が形成された半導体基板の一主面に弗素化合物ガスを用
    いた反応性イオンエッチングを行なって、前記下層配線
    の表面処理を行なう工程と、有機シリコン化合物ガスお
    よび酸化性ガスをソースガスとするCVD法によって下
    層配線の間に、選択的に第3の酸化シリコン膜を埋め込
    む工程と、全面に第4の酸化シリコン膜を堆積したの
    ち、前記下層配線に接続するスルーホールを開口する工
    程と、前記下層配線に接続する上層配線を形成する工程
    とを含む半導体装置の製造方法。
  3. 【請求項3】 下層配線および上層配線がアルミニウ
    ム、アルミニウム合金、ポリシリコン、金、チタン、タ
    ングステン、モリブデン、チタン−タングステン、金属
    シリサイドのうち1つ以上からなる単層または積層構造
    からなる請求項1および請求項2記載の半導体装置の製
    造方法。
  4. 【請求項4】 第1、第2および第4の酸化シリコン膜
    は、スパッタ法、熱CVD法、プラズマCVD法、光化
    学CVD法、液相成長法のうち1つ以上によって堆積さ
    れる請求項1および請求項2記載の半導体装置の製造方
    法。
  5. 【請求項5】 弗素化合物ガスが、弗化炭化水素化合物
    および弗化硫黄化合物のうち1つ以上からなる請求項1
    および請求項2記載の半導体装置の製造方法。
  6. 【請求項6】 有機シリコン化合物が、有機シロキサン
    および有機シランのうち1つである請求項1および請求
    項2記載の半導体装置の製造方法。
  7. 【請求項7】 酸化性ガスが、オゾン、酸素、亜酸化二
    窒素、水蒸気のうち1つ以上からなる請求項1および請
    求項2記載の半導体装置の製造方法。
  8. 【請求項8】 有機シリコン化合物ガスおよび酸化性ガ
    スをソースガスとするCVD法が、常圧CVD法、加圧
    CVD法、減圧CVD法のうち1つである請求項1およ
    び請求項2記載の半導体装置の製造方法。
JP4095117A 1992-04-15 1992-04-15 半導体装置の製造方法 Expired - Fee Related JP2773530B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP4095117A JP2773530B2 (ja) 1992-04-15 1992-04-15 半導体装置の製造方法
US08/045,791 US5420075A (en) 1992-04-15 1993-04-14 Forming multi-layered interconnections with fluorine compound treatment permitting selective deposition of insulator

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP4095117A JP2773530B2 (ja) 1992-04-15 1992-04-15 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH05304213A true JPH05304213A (ja) 1993-11-16
JP2773530B2 JP2773530B2 (ja) 1998-07-09

Family

ID=14128902

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4095117A Expired - Fee Related JP2773530B2 (ja) 1992-04-15 1992-04-15 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US5420075A (ja)
JP (1) JP2773530B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0837187A (ja) * 1994-05-19 1996-02-06 Sanyo Electric Co Ltd 半導体装置及び半導体装置の製造方法
KR100505602B1 (ko) * 1998-05-12 2005-09-26 삼성전자주식회사 반도체소자 제조에 사용되는 반사방지막 및 이를 포함한 다층막전면식각방법

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3469251B2 (ja) * 1990-02-14 2003-11-25 株式会社東芝 半導体装置の製造方法
JPH07169833A (ja) * 1993-12-14 1995-07-04 Nec Corp 半導体装置及びその製造方法
JPH08153784A (ja) * 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
JPH08167601A (ja) * 1994-12-13 1996-06-25 Sony Corp 半導体装置の製造方法
US5656543A (en) * 1995-02-03 1997-08-12 National Semiconductor Corporation Fabrication of integrated circuits with borderless vias
JP3061255B2 (ja) * 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
US5518959A (en) * 1995-08-24 1996-05-21 Taiwan Semiconductor Manufacturing Company Method for selectively depositing silicon oxide spacer layers
JP3277103B2 (ja) * 1995-09-18 2002-04-22 株式会社東芝 半導体装置及びその製造方法
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US5858865A (en) * 1995-12-07 1999-01-12 Micron Technology, Inc. Method of forming contact plugs
US5674783A (en) * 1996-04-01 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving the chemical-mechanical polish (CMP) uniformity of insulator layers
US7763327B2 (en) 1996-04-22 2010-07-27 Micron Technology, Inc. Methods using ozone for CVD deposited films
US6107183A (en) 1996-07-10 2000-08-22 Micron Technology, Inc. Method of forming an interlevel dielectric
US6001731A (en) * 1996-07-17 1999-12-14 Taiwan Semiconductor Manufacturing Company Ltd. Isolation dielectric deposition in multi-polysilicon chemical-mechanical polishing process
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
DE19631743C2 (de) * 1996-08-06 2002-05-29 Infineon Technologies Ag Herstellverfahren für eine als Intermetalldielektrikum fungierende Isolatorschicht
US5849644A (en) * 1996-08-13 1998-12-15 Micron Technology, Inc. Semiconductor processing methods of chemical vapor depositing SiO2 on a substrate
US20010012700A1 (en) * 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
JPH1092810A (ja) * 1996-09-10 1998-04-10 Mitsubishi Electric Corp 半導体装置
US5702977A (en) * 1997-03-03 1997-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method employing self-aligned and planarized trench fill dielectric layer
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6503818B1 (en) * 1999-04-02 2003-01-07 Taiwan Semiconductor Manufacturing Company Delamination resistant multi-layer composite dielectric layer employing low dielectric constant dielectric material
US6452275B1 (en) 1999-06-09 2002-09-17 Alliedsignal Inc. Fabrication of integrated circuits with borderless vias
JP2001135633A (ja) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp 半導体装置の製造方法
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
JP2002252280A (ja) * 2001-02-26 2002-09-06 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
GB0512429D0 (en) * 2005-06-17 2005-07-27 Smithkline Beecham Corp Novel compound
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795722A (en) * 1987-02-05 1989-01-03 Texas Instruments Incorporated Method for planarization of a semiconductor device prior to metallization
US4994402A (en) * 1987-06-26 1991-02-19 Hewlett-Packard Company Method of fabricating a coplanar, self-aligned contact structure in a semiconductor device
GB2211348A (en) * 1987-10-16 1989-06-28 Philips Nv A method of forming an interconnection between conductive levels
JPH02209753A (ja) * 1989-02-09 1990-08-21 Kawasaki Steel Corp 半導体装置の多層配線の形成方法
JP2960466B2 (ja) * 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
JP3128811B2 (ja) * 1990-08-07 2001-01-29 セイコーエプソン株式会社 半導体装置の製造方法
JP2697315B2 (ja) * 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP2660359B2 (ja) * 1991-01-30 1997-10-08 三菱電機株式会社 半導体装置
US5086017A (en) * 1991-03-21 1992-02-04 Industrial Technology Research Institute Self aligned silicide process for gate/runner without extra masking
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5270240A (en) * 1991-07-10 1993-12-14 Micron Semiconductor, Inc. Four poly EPROM process and structure comprising a conductive source line structure and self-aligned polycrystalline silicon digit lines
US5284549A (en) * 1992-01-02 1994-02-08 International Business Machines Corporation Selective fluorocarbon-based RIE process utilizing a nitrogen additive
US5296094A (en) * 1992-06-12 1994-03-22 Intel Corporation Process for etching silicon dioxide layer without micro masking effect
US5229326A (en) * 1992-06-23 1993-07-20 Micron Technology, Inc. Method for making electrical contact with an active area through sub-micron contact openings and a semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0837187A (ja) * 1994-05-19 1996-02-06 Sanyo Electric Co Ltd 半導体装置及び半導体装置の製造方法
KR100505602B1 (ko) * 1998-05-12 2005-09-26 삼성전자주식회사 반도체소자 제조에 사용되는 반사방지막 및 이를 포함한 다층막전면식각방법

Also Published As

Publication number Publication date
US5420075A (en) 1995-05-30
JP2773530B2 (ja) 1998-07-09

Similar Documents

Publication Publication Date Title
JP2773530B2 (ja) 半導体装置の製造方法
JP5730471B2 (ja) パターン形成キャップを用いるエアギャップ形成と一体化
JP4425432B2 (ja) 半導体装置の製造方法
US5312773A (en) Method of forming multilayer interconnection structure
JPH09246242A (ja) 半導体装置及びその製造方法
GB2319891A (en) Low dielectric constant interlayer insulators
JP3193335B2 (ja) 半導体装置の製造方法
JPH1116918A (ja) 銅配線構造およびその製造方法
JPH10223760A (ja) アルミニウム相互接続のプラズマ処理による空気ギャップ形成の方法
JPH05235184A (ja) 半導体装置の多層配線構造体の製造方法
JPH05243402A (ja) 半導体装置の製造方法
US6218287B1 (en) Method of fabricating a semiconductor structure
WO2000054328A1 (fr) Systeme de fabrication de dispositif semi-conducteur
JP2560623B2 (ja) 半導体装置の製造方法
JPH05206282A (ja) 半導体装置の多層配線構造体の製造方法
JP3323264B2 (ja) 半導体装置の製造方法
JPH07176612A (ja) 半導体装置の多層配線構造及び半導体装置の製造方法
JP3958071B2 (ja) 半導体装置製造方法
JPH05304218A (ja) 半導体装置の製造方法
JP2900718B2 (ja) 半導体装置及びその製造方法
JPH06196573A (ja) 半導体装置の製造方法
JP3099381B2 (ja) 半導体装置及びその製造方法
JP2776397B2 (ja) 半導体装置の製造方法
JPH05243226A (ja) 半導体装置の製造方法
JPH09139428A (ja) 半導体装置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19980324

LAPS Cancellation because of no payment of annual fees