JPH02299225A - 半導体加工のための耐圧熱反応装置システム - Google Patents

半導体加工のための耐圧熱反応装置システム

Info

Publication number
JPH02299225A
JPH02299225A JP2032317A JP3231790A JPH02299225A JP H02299225 A JPH02299225 A JP H02299225A JP 2032317 A JP2032317 A JP 2032317A JP 3231790 A JP3231790 A JP 3231790A JP H02299225 A JPH02299225 A JP H02299225A
Authority
JP
Japan
Prior art keywords
tube
chamber
quartz tube
wafer
quartz
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2032317A
Other languages
English (en)
Inventor
David V Adams
ディヴィッド ヴィンセント アダムス
Roger N Anderson
ロジャー ノーマン アンダーソン
Thomas E Deacon
トーマス ユージーン ディーコン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23330573&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH02299225(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH02299225A publication Critical patent/JPH02299225A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔利用分野〕 本発明は半導体加工に関連し、より詳細には化学的蒸着
、加熱焼きなましおよび高温加工を必要とするその他の
手順のための熱中性子炉に関する。
本発明の主要な目的は、非大気圧における比較的一様な
反応ガスの流れによる半導体加工のための改良型の熱反
応装置を提供することである。
〔従来技術の課題〕
最近の技術的な発展は、半導体加工の進歩によって可能
になった電子回路の漸進的なミニアチュア化と密接に関
連している。ある新しい加工技術では、高温で準大気圧
を持ち一様な反応ガスの流れの注意深く制御された状況
のもとで、半導体構造を反応ガスに露出させることが必
要になっている。そのような加工の例には、低圧の化学
的蒸着、減圧の化学的蒸着や選択的なエピタキシアル蒸
着などが含まれる。とりわけ重要なことは、ウェーハを
横断して一様な結果、すなわち蒸着の厚さを保証するた
めに温度やガスの流れを一様にすることである。
大気圧および準大気圧の熱反応を実施するための熱反応
装置は、典型的に反応室、ガス源、排気システム、熱源
、冷却剤源などを含んでいる。反応室は、望みの反応の
ために制御された環境を備えている。ガス源は浄化ガス
や反応ガスを備えているが、排気システムは排ガスを除
去し望みの準大気圧を維持している。加熱源は、赤外線
ランプや誘導加熱源の配列であるということがあり得る
が、一般的に、室の壁を通過してウェーハを加熱するた
めにエネルギーを伝えている。一般的にウェーハは支持
部に装着され、その支持部は、室に伝えられたエネルギ
ーを吸収し、加工されつつあるウェーハに熱を伝えるた
め結果的に生じた熱を運ぶ加熱台として役立つ。さらに
は、その支持部は室内部の空間的な異常の効果を最小限
にするために室内部のウェーハを回転させる。冷却剤は
熱膨張拡大や沈殿の間における室のゆがみを最小限にし
、室の壁の上の蒸着を最小限にし、蒸着後の冷却を助け
るために室の外表面に当てられる。
石英は、反応室の壁に選択された材料である。
その高温の融点と低い熱膨張係数のおかげで、ある化学
的蒸着(CVD)において例えば1100度以上などの
高温が許容されている。石英は透明な誘電体であり、赤
外線や誘導加熱源と適合しており、それによって、蒸着
が完了した後に熱の分散を容易にしている。さらに石英
は例えば、溶解した無水ケイ酸など極めて純粋な形態で
獲得することができ、熱反応において極力汚濁源になら
ないようになっている。ここにおいて、石英とは少なく
とも90%の二酸化シリコンからなる自然あるいは、合
成のガラス状の物質と規是されている。
反応室の壁は、例えば釣鐘状ガラス器などのように室の
長さ全体に及んで、あるいは室の長さの重要な部分に及
んで典型的な円筒状を成している。
円筒形の容器は、圧力差によるひずみを一様に分散し、
しかも壁の厚さが多様であってもそうであるために低圧
応用のために選択された容器である。
応力が均等に分散されれば、破損の可能性が最小限にな
る。
もう一方において、円筒形の形態によってウェーハ表面
における一様な反応ガスの流れが阻止される。ウェーハ
の表面は平らであり、その結果円筒形の室の中において
対称的に位置された時には、室の長手方向軸から最も違
いウェーハの端は、ウェーハの中心よりも室の壁に一層
近くなっている。
このようにして、より大きな反応ガス量がウェーハの短
手方向の端よりも、ウェーハの中心に供給されている。
これによって、非均等的な蒸着を引き起こし、その非均
等的な沈殿によってウェーハからの集積回路の収量が損
なわれるだろう。非均等的な流れは、拡散により発生す
る蒸着にとっては問題ではなく、そのような蒸着は、約
1トルあるいはそれ以下の非常に低い圧力において発生
するが、流れによって発生する蒸着反応にとっては問題
である。そのような流れによって発生する蒸着反応の方
がはるかに流布している。
円筒形の形態によって生じた不整合性は、大きな曲率半
径を使用することによって最小限にすることができる。
例えば、アプライド・マテリアル社によって製造された
AMC−7810/11円筒エピタキシャル反応装置は
、多面の胴部の周囲に配列されたウェーハの円周の列を
同時に加工するために設計されている。個々のウェーハ
の尺度の応じて、円筒形の室は比較的に平らになること
ができ、したがってウェーハの上における反応ガスの流
れは、比較的一様になることができる。しかしながら、
より大きなウェーハが加工されるにつれて、そのような
反応装置の設計を一定の率で決定することは問題のある
ことである。ハードウェアの規模はウェーハの直径に応
じた線形的な大型化以上に一層急速な大型化を必要とし
ていた。
システムの大型化に関係して規模や量が太き(なると、
加熱、冷却時間を一層長くする必要があり、原料の処理
量に支障が出てくる。原料の処理量の問題は、能力以下
のそのような室を使用する時に事実上加工時間を節約す
ることができないために、実験あるいは反復練習などの
目的のために単一のウェーハを加工する場合には問題は
一層深刻になる。
前述したことで解明しているように、室の設計において
は設計上の目的の対立が内在している。
減圧加工は円筒形の形態や小さな曲率半径が必要である
ことを示している。一様な反応ガスは平らな表面である
にせよ、非常に大きな直径の円筒であるにせよ、大きな
曲率半径が必要であることを示している。さらには、室
の壁の湾曲部はその壁を通過して伝わる放射エネルギー
を曲げることができ、それによってウェーハの加熱の仕
方が不均等になる。加熱の仕方が不均等であれば、結果
的に蒸着が不均等になり、結晶にずれが生じる。室が平
らな表面を備えればこの問題を軽減することができるだ
ろう。ウェーハを横断して反応ガスの流れを一様にする
ために方形の石英の室を使用する大気圧熱反応装置が存
在する。しかしながらこれらは、減圧や低圧を適用する
ことはできない。
平らな表面を横断して圧力差があれば、局部的な応力が
生じて破損することになるだろう。
圧力差による平らな壁やその他の非円筒形の壁の変形は
、壁の厚さを厚くすることによって、対処することがで
きる。しかし厚い壁の熱絶縁はあまりにも大きい。外部
の冷却剤、典型的には空気が室の壁の温度を減少させる
効率が下がれば、壁の内表面における化学的な蒸着が増
すようになるだろう。さらには、内表面は熱くなれば外
表面よりも一層急速に膨張する傾向があり、室の壁にひ
びが入るだろう。その他の設計の目的から、熱応力およ
び壁における蒸着を減少させるために室の壁を薄くする
か、それとも圧力によって生じた応力を減少させるため
に壁を厚くするかの間で好みの上における対立が生じる
一様性が必要なことは、室の冷却にも当てはまる。前述
したことで示したように、冷却剤の液体は室の壁の外表
面を横断して通過し、室の壁における沈殿と熱膨張によ
るゆがみを最小限にする。
−a的に、冷却剤の液体は熱源の近くあるいはその背後
のノズルを通過して加えられる。冷却剤の液体は、一般
的に、室の壁の表面においては制御されず、渦やその他
の流れの不規則性が生じることがあり得る。これにより
、室の壁を横断して冷却に差異が生じ、結果的に局部的
な蒸着が生じ、室の壁にひびを入れるような不均等的な
応力が加わる。
圧力の差異は準大気圧のやり方において最も普通に見か
けるが、大気圧以上の圧力のもとにおいて実行されたや
り方にも適用される0例えば大気圧のやり方であると考
えられている多くのやり方は、大気圧が約760トルで
ある時にのみそうなっている。例えば高い場所にある実
験室では、そのようなやり方を実行するために、反応容
器に圧力を加えることが必要かもしれない。準大気圧加
工に適用される対立する設計上の目的は、大気圧以上の
圧力の加工にも当てはまる。
必要なのは高温、非大気圧および一様な反応物の流れを
考慮した熱反応装置システムである。さらには加熱、冷
却の整合性を備えていなければならない。
〔発明の概要〕
半導体加工のための熱反応装置システムは、反応容器、
容器内部のウェーハを支える部分、ガス源、真空システ
ム、ガス源と真空システムが反応容器と連絡する密閉部
、熱源と冷却剤源とを含んでいる。反応容器は石英チュ
ーブとチューブを取り囲む繋板とを含んでいる。石英チ
ューブの内部の壁は、反応室を画定するが、石英繋板は
、室の壁の外表面に配置されている。
本発明は幅広いチューブの形態を考慮している。
改良型の反応ガスの流れの一様性は、チューブが円筒形
のチューブよりも平らな時にもたらされる。
楕円形のチューブは加工されるウェーハがチューブの楕
円形の横断面の小軸に対して直角を成す時に考慮されて
いる。ここにおいて「楕円形」とは卵形やレンズ状など
の偏球と特徴づけられる形態と同様に長円を成す横断面
のことである。好ましいことは、平らな表面が、回路装
置を搭載するためにウェーハの表面と対置することであ
る。したがって、方形のチューブは再びウェーハが小さ
な直径と直角を成し、線状の切片がより短い側面の中間
にあって好まれている。そうでなければ、卵形の横断面
を持ち、2つの平行な側面を持つチューブを使用するこ
とができる。またウェーハの上方には平らな表面を持ち
、ウェーハの下方には湾曲した表面を持つチューブを使
用することができる。一般的に、パターン化されたウェ
ーハの表面は比較的大きな曲率半径に向かうべきであり
、平らな表面の曲率半径は不定である。
繋板は石英で予め形成され、石英チューブの周囲の位置
に装着することができる。繋板は、トーチあるいはその
他の熱源からの局部化された加熱を使用して融合するこ
とができる。付加的な石英の破片は、ちょうど金属の破
片が蝋付は作業において使用することができるように、
融着の手順において使用することができる。繋板は一枚
板にすることができる。そうでなければ、繋板は、石英
チューブの上の位置において端から端まで融着されてい
る2個あるいはそれ以上の切片から形成することができ
る。容器は、融着の間に熱によって生じた応力を軽減す
るために、繋板を取り付ける間に少な(とも一度は焼き
なましをされる。
石英繋板は、非大気圧の手順の間に圧力によりチューブ
にゆがみができないようにするために付加的な力を加え
る。好ましいのは、より効果的な冷却をするために冷却
剤の流れを制御することができるように、繋板がチュー
ブの周囲を円を描くように延長する平行な隆起部として
配列されていることである。赤外線熱源のランプは、繋
仮に対してジグザクに配置され、繋板が熱を最もさえぎ
らず、より一様な加熱を加えるという方形の形態の利点
を保持している。本発明のこれらおよびその他の特徴や
利点は、後述の図面を参考として備えている次の記述か
ら明らかである。
〔実施例〕
本発明によれば、熱反応装置システム100は、ガスa
uo2、反応容器104、排気処理下位システム106
を含んでいる。台座108は、反応室105の内部の平
らな半導体ウェーハ91を支え置かれている。反応室1
05は、反応容器104の内部である。反応容器104
は、長手方向に延長する方形の石英チューブ110と1
4個の石英繋板を含み、14個の繋板の内5個の繋板1
11−115が示されているが、石英チューブ110の
外部表面144に融合されている。
ガスfi102は石英チューブ110の入口端122に
おける人口密閉部120を通過して、反応室105と連
絡している。排気処理下位システム106は、石英チュ
ーブ110の出口端126における出口密閉部124を
通過して反応室105と連絡している。石英チューブ1
10、入口密閉部120、出口密閉部124は、減圧さ
れた低い圧力が、排気処理下位システム106によって
その中に確立されるように、大気ガスから反応室105
を密閉するために協力する。
熱源130は反射器138を持つ赤外線ランプの上部配
列132と下部配列134を含んでいる。
赤外線ランプ136は、反応容器104の外部にある。
赤外線放射は、ウェーハ91を加熱するために石英チュ
ーブ110を通過して赤外線ランプ136によって伝え
られている。赤外線ランプ136はウェーハ91におけ
る赤外線放射が最小限だけ遮られるように、石英繋板1
11−115に対して、ジグザグに配列されている。
冷却剤a140は、石英チューブ110の外表面144
に対して冷却剤の流れを供給する。冷却剤の流れの目的
は、熱反応の間において石英チューブ110を冷却する
ことであり、結果的に内表面における蒸着を最小限にす
る。そのような蒸着ができれば、石英チューブ110の
伝達率は減少するだろう。それによって、ウェーハ91
を加工するために必要な熱Ht3oが必要とする熱量を
増加するだろう。さらには、石英チューブ110の上に
おける蒸着は、熱反応装置システム110を使用して行
われる後続するプロセスにとっては、潜在的な汚染物質
である。さらには、冷却剤の流れ142は熱加工がひと
たび完了すれば、反応容器104や取り囲まれたウェー
ハ91を冷却するために使用される。
例示された冷却剤源140は赤外線ランプ1360問お
よび石英チューブ110の外表面144に対して大気の
空気を押しつける。防板111−115は冷却剤路14
8を画定し、冷却剤路148は第2図に示されているよ
うに、より効果的に冷却をするために石英チューブ11
0の周囲に円を描くように冷却剤を導く。
第2図および第3図に最もよく示されているように、石
英チューブ110は2つのより長い側面、すなわち上部
152と下部154および2つのより短い側面156.
158を持つ方形の横断面を備えている。ウェーハ91
は、上部152に対して平行あるいはほとんど平行に横
たわるように支えられている。したがって、ウェーハ9
1と上部152の間における空間の隙間は実質的に安定
している。したがってウェーハ91を覆う反応ガスの流
れは、ウェーハ91の横断する広がりの全域にわたって
実質的に変化しない。次には、これはより一様な蒸着や
熱反応装置システム100を使用して実行することがで
きる別の反応を規定している。防板111−115もま
た、石英チューブ110に対応するために方形の横断面
を持っている。
例示された石英チューブ110は19インチの長さがあ
る。内部の横断面は、3.5インチX 11.5インチ
であり、チューブの壁は0.16インチの厚さがある。
防板は、基本的には方形をなし、約0.25インチの厚
さがある。防板は平行に配列され、1.125インチの
ピッチで長手方向に間隔を置いている。
防板は、一枚板あるいは端が融着されている切片か°り
形成されることができる。個々の防板は石英チューブの
周囲の位置に配置され、トーチを使用して局部的な加熱
によって融着されることができる。蝋付けのやり方と同
じように、確実な接着を行うために石英の破片は石英チ
ューブの外表面と防板の接触表面の間に適用することが
できる。
切片になった防板は石英チューブに融着される前あるい
は後に防板どうしが融着される。、繋板の融着の間に、
少なくとも一度、そして好ましいのは複数の時点におい
て、融着の手順によって生じる局部的な応力を除去する
ために熱による焼きなましが使用される。別の、しかし
ながら費用のかかる手順において、防板は鋳造の手順に
おいて、チューブと一枚板をなすように形成される。
方形の石英チューブは熱反応装置システムにおいて使用
されてきたが、そのような石英チューブは非大気圧操作
のために設計されたシステムにおいては回避されている
。このことの理由は、方形の石英チューブを横断する圧
力差によって生じた応力がそれを破壊することができる
からである。
この応力を軽減する方法は数多くあるが、その大部分の
方法は相殺する不都合な点がある。例えば、反応容器外
部の圧力を低くすることは、困難で費用がかかるだろう
。石英チューブを厚くすれば、容器の内部の壁における
蒸着の量を増加することができるだろう。さらには、壁
がより厚(なれば、熱膨張によって破壊されることにな
るだろう。破壊する可能性が少ない別の材料は、熱伝導
および、あるいは汚染に関連する不都合な特徴を持って
いる。
石英チューブの外側に融着されている石英防板は、重大
な相殺する不都合な点を被ることなく、変形に対抗する
ために必要な力を備えている。事実、防板は石英チュー
ブの冷却を高めるために、冷却剤の流路を画定している
。繋仮に対してジグザグになっている赤外線ランプは、
光のゆがみを最小限にしている。ここにおいて、防板は
一般的に、剛性の補強要因のことである。
本発明は、その他のチューブの形態を考慮している。例
えば、反応容器404は、石英チューブ410、および
それに融着されている防板412.414を含み、楕円
形の横断面を持っている。チューブ410の楕円形の横
断面は、側面において接合した2つの向かい合う円弧か
ら形成されている。反応容器404は方形の容器104
の反応物の流れが一様になっていないが、円筒状の容器
に関連する流れの一様性において実質的な改良を示して
いる。もう一方において、楕円形のチューブ410は方
形のチューブ110よりも圧力による応力を分散する。
したがって、破壊を回避するためにより少数の防板が必
要とされている。したがって、わずかに2個の防板41
2.414のみが反応容器404のために使用されてい
る。防板412および414は、容器IQ4が熱反応装
置システム100に組み込まれている時にランプ136
に対して適切にジグザグになるように容器104の防板
112.114に同じように配置されている。一般的に
、改良された反応物の流れの一様性は、反応チューブが
ウェーハを覆って比較的平らである時に達成することが
できる。大部分の適切な形態について、相対的な平らさ
はウェーハに対して直交する直径とウェーハに対して平
行をなす直径との縦横比を使用して測定することができ
る。例えば、石英チューブ410はウェーハの位置とそ
のウェーハの位置に平行な直径422と直角を成す直径
420を画定している。直径420は、チューブ410
の縦横比が単一にならないように直径422より短くな
っている。円筒状のチューブは、縦横比が1である。形
態がより平らであれば、縦横比は一層小さくなる。方形
の直径は、基本的にその側面の長さと同じである。
縦横比はチューブがウェーハに対して平行を成す対称的
な平面を持っているところでは有用である。しかしなが
ら、ウェーハの上方に平らな表面、ウェーハの下方に湾
曲した表面を持つチューブを構想することはできる。し
たがって関心事になっている表面のより性格な特徴は、
次の通りである。
個々のチューブは縦軸を持ち、たとえば、第1図、第2
図に示されているように、石英チューブ110は、縦軸
160を持っている。半径は縦軸からチューブの内表面
の上のポイントに向けて、直交して延長する指向性を持
つ切片によって画定されている。例えば、半径162は
半径162がウェーハに対して直交するように、縦軸1
60から、そして内表面146の上のポイント164ま
で直交して延長している。好ましいのは、半径162が
その形態の中心においてウェーハ91と交差しているこ
とである。
内表面の支点164は、支点164を通過しチューブ1
10の縦軸に直交する平面において画定された曲率半径
を持っている。突出点までの半径もまた大きさ、すなわ
ち長さを持っている。半径および選択された点に関連し
た曲率半径が等しい時には、チ二−プは円筒状であり、
少なくとも局部的には突出点の周囲においてはそうであ
る。半径が曲率半径より大きい時には、反応物の一様性
がより乏しい状況が存在している。突出点における曲率
半径の大きさが突出点までの半径の大きさよりも実質的
に大きい時には、反応物の流れの一様性が改良された状
態が存在している。事実この関係はチューブの内表面の
上に向かったウェーハの上向きの突出であるすべての点
に当てはまるはすである。内表面が平らである時には、
曲率半径は不定であり、定義の上で、実際の半径よりも
大きい。
前述したことは、関心のウェーハの表面が突出点とその
他のウェーハの表面の間にあるように、突出がウェーハ
に対して横たわなければならない方向としてより形式的
に画定できなければならない。一般的に、ウェーハのひ
とつの側面は回路装置を装着しなければならないし、反
応物の流れが一様でなければならないのはこの側面の上
である。
通常この側面は反応容器の中において、上向きになって
いる。より一般的には、その側面はその他の側面に接触
する支持部から方向を背けている。
例えば台座108はウェーハ95の下表面95に接触す
ることによって、ウェーハ91を支え、ウェーハの上表
面97は装置が画定される表面である。もしウェーハの
両面が支えられていれば、突出点はウェーハの上方ある
いは下方のいずれかに存在することができる。
このようにして、本発明は方形のチューブあるいは、方
形と円筒状の間のチューブを画定し、またひとつあるい
はそれ以上の壁が内側に向かって湾曲している形態を考
慮している。防板を使用することによってより薄い円筒
形のチューブを使用することが可能になる。例示された
チューブ110.410はウェーハに対して直交する平
面やウェーハに対して平行を成す平面について対称性を
示しており、両方の平面は水平軸を含んでいる。ウェー
ハに対して直角を成す平面についての対称性は一様性を
高める。ウェーハに対して平行する平面の周囲において
対称ではないチューブは本発明によって画定されている
本発明はさまざまな石英チューブの材料を考慮している
。融着されている無水ケイ酸は99%純粋な二酸化シリ
コンであるが、チューブにとって好ましい材料である。
しかしながら、石英材料のバランスが熱反応を危うくし
ないところではその他のガラス混合物がある利点、すな
わちより低い熱膨張係数を与えることができる。異なっ
た熱源と冷却財源を使用することができる。例えば、誘
導的あるいは抵抗的熱源と同じように、赤外線以外の電
磁放射を使用する熱源を使用することができる。石英チ
ューブの内表面と外表面の間において圧力差を確立する
ための手段は真空に基づかず、使用することができる。
例えば、反応チェンバの中における上方の大気圧を維持
するための手段を使用することができる。記述された具
体的な実施例についてのこれらおよびその他のバリエー
ションや修正は、本発明によって規定され、その範囲は
前述した特許請求の囲によ・ってのみ限定されている。
【図面の簡単な説明】
第1図は本発明による半導体加工のための熱反応装置の
図式的な図解である。 第2図は第1図のシステムの反応容器の断面図である。 第3図は第2図のシステムの反応容器の透視図である。 第・4図は第1図のシステムにおいて組み入れることが
できる代用となる反応容器の透視図である。 100・・・・・・熱反応装置システム、102・・・
・・・ガス源、   104・・・・・・反応容器、1
06・・・・・・排気処理下位システム、105・・・
・・・反応室、   lo8・旧・・台座、110・・
・・・・石英チューブ、 404・・・・・・反応容器、 410・・・・・・石英チューブ(楕円形)、412.
414・・・・・・防板、 91・・・・・・半導体ウェーハ、 97・・・・・・ウェーハの上表面、 111−115・・・・・・石英防板、120・・・・
・・入口密閉部、 124・・・・・・出口密閉部、1
30・・・・・・熱源、     138・・・・・・
反射器、136・・・・・・赤外線ランプ、140・・
団・冷却剤源、142・・・・・・冷却剤の流れ、 144・・・・・・石英チューブ外表面、148・・・
・・・冷却剤路、 152・・・・・・石英チューブ上部、154・・・・
・・石英チューブ下部、132・・・・・・赤外線ラン
プ上部配列、134・・・・・・赤外線ランプ下部配列
、160・・・・・・石英チューブの縦軸、手続補正書
(方式) 1.事件の表示   平成2年特許願第32317号3
、補正をする者 事件との関係  出願人 4、代理人

Claims (1)

  1. 【特許請求の範囲】 1、内表面と外表面とを持つ壁を備え、長手方向の軸を
    画定し、前記の長手方向の軸に対して垂直を成す横断面
    が非円形である細長い石英チューブと、 前記外表面に取り付けられ、前記の壁に加えられた圧力
    に対して抵抗力を生じる補強手段とを含む応答容器。 2、少なくとも前記の外表面に接触する前記の補強手段
    の部分が石英からできている請求項1記載の反応容器。 3、少なくとも、前記の補強手段が前記の壁とともに一
    体化して形成されている請求項2記載の反応容器。 4、前記の補強手段が、前記の外面に融着されている請
    求項2記載の反応容器。 5、前記の横断面が方形を成す請求項1記載の反応容器
    。 6、前記の横断面が楕円形をなす請求項1記載の反応容
    器。 7、前記の補強手段が外表面に接着し、お互いに平行に
    配列されている平面状の繋板を含む請求項1記載の反応
    容器。 8、高温、低圧の熱反応装置システムにおいて、内表面
    と外表面とを持つ壁を備え、長手方 向の軸を画定し、前記の長手方向の軸に対して垂直を成
    す横断面が、非円形である細長い石英チューブと、 前記外表面に取り付けられ、前記の壁に加 えられた圧力に対して抵抗力を生じるする外部補強手段
    と、 前記チューブを密閉するための手段とを包 含する低圧容器と、 前記チューブの端を封鎖するための手段と、前記の反応
    装置の内部に配置されたウェーハを支える手段と、 前記チューブの外に配置され、少なくとも部分的に前記
    のウェーハを支える手段に対して前記の壁を通過して放
    射熱を向けるのに適した放射熱手段と、 大気圧以下の状態において、前記容器を通過して前記長
    手軸方向に対して実質的に平行にガスを流すための手段
    とを含むシステム。 9、少なくとも、前記の外表面に接触する前記の補強手
    段の部分が、石英からできている請求項8記載の反応容
    器。 10、少なくとも、前記の補強手段の部分が前記の壁と
    一体化して形成されている請求項9記載の反応容器。 11、前記の補強手段が前記の外表面に融着されている
    請求項9記載の反応容器。 12、前記の横断面が方形をなす請求項8記載の反応容
    器。 13、前記の横断面が楕円形をなす請求項8記載の反応
    容器。 14、前記の補強手段が前記の外部表面に接着し、相互
    に平行に配列された平面状の繋板を含む請求項8記載の
    反応容器。 15、半導体加工のための熱反応システムにおいて、内
    表面と外表面とを持ち、前記の内表面によって部分的に
    粘着された室を画定し、長手方向の軸を画定する石英チ
    ューブと、 冷却剤の流れを前記の外表面の周囲に導き、前記の内表
    面と外表面の間の圧力差に耐えられるように構造上の強
    度を備え、前記の外表面の大部分を露出した状態に置き
    、前記の外表面に接着している剛性の要素を含む反応容
    器と、反応ガスを前記の室に導くためのガス源と、前記
    の室と前記の室の外側の大気ガスの間に圧力差を確立す
    るための圧力手段と、 前記の外表面を通過して放射エネルギーを伝達するため
    の放射加熱手段と、 前記の外表面の周囲に冷却剤を循環させ、それによって
    前記の冷却剤が前記の剛性の要素によって、前記の外表
    面の周囲に円を描きながら導かれる冷却手段とを含むシ
    ステム。 16、前記の石英チューブが前記の長手方向の軸に直交
    する横断面を持つ長手方向の断面を含み、前記の横断面
    が第1の直径を持ち、さらには前記の横断面が前記の第
    1の直径に直交し、しかも第1の直径よりも大きな第2
    の直径を持つ請求項15記載のシステム。 17、平面状の表面を持つウェーハを、その平面状の表
    面が前記の第1の直径に対して直角を成すように支える
    ためのウェーハを支える手段をさらに含む請求項15記
    載のシステム。 18、前記のチューブが方形の横断面を持つ請求項15
    記載のシステム。 19、前記のチューブが楕円形の横断面を持つ請求項1
    5記載のシステム。 20、前記の内表面が実質的に平らな部分を含む請求項
    15記載のシステム。 21、前記の放射加熱手段が、複数の加熱要素を含み、
    前記の加熱要素が前記の剛性の要素に対してジクザクな
    位置に配列されている請求項15記載のシステム。 22、前記の剛性の要素が石英であり、前記のチューブ
    に融着されている請求項15記載のシステム。 23、半導体加工のための熱反応装置において、反応室
    を画定し、石英チューブと石英繋板を含み、前記の石英
    チューブが前記の石英チューブの入口から前記の石英チ
    ューブの出口まで長手方向に延長する長手方向軸を含み
    、前記の石英チューブが長手方向の広がりと直角を成す
    横断面を持ち、前記の横断面が前記の長手方向軸におい
    て実質的に一定し、前記の石英チューブが内表面と外表
    面とを持ち、前記の個々の石英繋板が、前記の石英チュ
    ーブの前記の外表面に融着されている内向き表面を持ち
    、前記の内表面が前記の長手方向の軸から直角を成しな
    がら延長する半径を画定する点を含み、前記の内表面が
    前記の点を通過し前記の長手方向の軸に対して直角を成
    す平面において曲率半径を持ち、前記の曲率半径の大き
    さが前記の半径の大きさよりも実質的に大きな反応容器
    と、 前記の室の中の平面状の半導体ウェーハを前記の半径が
    前記のウェーハに対して直角を成しながら延長するよう
    に支え、前記のウェーハの第1の平面状の表面と接触し
    、前記のウェーハが前記の第1の平らな表面と前記の点
    の間に配置された第2の平面状の表面を持ち、前記の反
    応室の中の前記のウェーハの位置が予め設定できるよう
    に前記の反応容器に機械的に連結されているウェーハを
    支える手段と、 圧力差が前記の反応室と周囲の気体との間に設定され維
    持されるように前記の室を密閉し、前記の室密閉の手段
    が前記の入口を密閉する入口密閉手段と前記の出口を密
    閉する出口密閉手段を備え、前記の室密閉手段が機械的
    に前記の石英チューブに連結されている室密閉手段と、
    少なくともひとつのガスのタイプを前記の反応室に導き
    入れ、前記の入口密閉手段に連結されているガス源と、 前記の室からガスを除去することによって前記の室の中
    に準大気圧を設定し維持し、前記の真空密閉手段を通じ
    て前記の反応室に連結されている真空手段と、 前記のウェーハを加熱し、電磁エネルギーを伝えるため
    のエネルギー源を備え、電磁エネルギーを前記の石英チ
    ューブを通じて前記の反応室の中に伝えるように前記の
    反応容器に物理的に連結されている加熱手段とを含む装
    置。 24、前記の内表面が前記のウェーハに対して平行を成
    す実質的に平らな部分を含む請求項23記載の熱反応装
    置。 25、前記のチューブと前記の繋板が前記のチューブの
    長手方向の広がりに対して直角を成す実質的に方形の横
    断面を持つ請求項23記載の熱反応装置。 26、前記の繋板が相互に実質的に平行を成し、前記の
    チューブの周囲を円を描くように、しかもその縦の広が
    りに対して直角を成しながら延長する請求項23記載の
    熱反応装置。 27、前記のエネルギー源が前記の繋板に対してジクザ
    グに配列された複数の熱発生要素を含む請求項26記載
    の熱反応装置。 28、前記の石英チューブを冷却するための冷却剤の液
    体を供給するために前記の反応容器の外部に冷却剤源を
    さらに備え、前記の繋板が前記の石英チューブの前記の
    外部表面に沿って前記の冷却剤の液体を導くための平行
    を成す冷却剤流路を画定する請求項23記載の熱反応装
    置。 29、反応容器を形成するための方法において、外表面
    も持つ石英チューブを獲得することと、石英繋板を形成
    することと、 前記の石英繋板を前記の外部表面の周囲に円を描くよう
    に配置することと、 前記の石英繋板をトーチを使用しながら前記の石英チュ
    ーブに融着することと、 融着の間に引き起こされた応力を除去するために、結果
    的に生じる反応容器を焼きなますこととを含む方法。 30、前記の個々の石英繋板が複数の切片から形成され
    、それらの切片は、前記の繋板が前記の外部表面の周囲
    に配置された後に端から端まで融着されている請求項2
    9記載の方法。 31、前記の石英チューブは、その横断面が円形から方
    形に変化するように変形された請求項29記載の方法。 32、石英チューブはその横断面が円形から楕円形に変
    化するように変形された請求項29記載の方法。
JP2032317A 1989-04-18 1990-02-13 半導体加工のための耐圧熱反応装置システム Pending JPH02299225A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US339784 1989-04-18
US07/339,784 US4920918A (en) 1989-04-18 1989-04-18 Pressure-resistant thermal reactor system for semiconductor processing

Publications (1)

Publication Number Publication Date
JPH02299225A true JPH02299225A (ja) 1990-12-11

Family

ID=23330573

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2032317A Pending JPH02299225A (ja) 1989-04-18 1990-02-13 半導体加工のための耐圧熱反応装置システム

Country Status (6)

Country Link
US (1) US4920918A (ja)
EP (1) EP0393809B1 (ja)
JP (1) JPH02299225A (ja)
KR (1) KR0181942B1 (ja)
DE (1) DE69025972T2 (ja)
ES (1) ES2086367T3 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0794419A (ja) * 1993-09-20 1995-04-07 Hitachi Ltd 半導体処理装置
JP2006507680A (ja) * 2002-11-22 2006-03-02 アプライド マテリアルズ インコーポレイテッド 裏側加熱チャンバ
JP2007314884A (ja) * 1995-08-03 2007-12-06 Asm America Inc 内部支持部材を有するプロセスチャンバ
JP2013016453A (ja) * 2011-06-30 2013-01-24 Samsung Display Co Ltd プラズマを利用した基板処理装置、およびこれを利用した有機発光表示装置の製造方法
JP2019071404A (ja) * 2017-06-20 2019-05-09 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ 半導体加工装置および半導体加工装置を較正する方法

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5167717A (en) * 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
US5129360A (en) * 1990-01-24 1992-07-14 The United States Of America As Represented By The Secretary Of The Air Force Actively cooled effusion cell for chemical vapor deposition
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5820686A (en) * 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5573566A (en) * 1995-05-26 1996-11-12 Advanced Semiconductor Materials America, Inc. Method of making a quartz dome reactor chamber
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
SE9600705D0 (sv) * 1996-02-26 1996-02-26 Abb Research Ltd A susceptor for a device for epitaxially growing objects and such a device
JP3483733B2 (ja) * 1997-06-04 2004-01-06 株式会社日立国際電気 半導体製造装置及び基板処理方法
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6099648A (en) * 1997-08-06 2000-08-08 Applied Materials, Inc. Domed wafer reactor vessel window with reduced stress at atmospheric and above atmospheric pressures
US6780464B2 (en) * 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
WO1999049101A1 (en) 1998-03-23 1999-09-30 Mattson Technology, Inc. Apparatus and method for cvd and thermal processing of semiconductor substrates
US5930456A (en) * 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) * 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6406543B1 (en) 1998-07-23 2002-06-18 Applied Materials, Inc. Infra-red transparent thermal reactor cover member
US6152075A (en) * 1998-08-31 2000-11-28 Advanced Micro Devices, Inc. Method and system for heating semiconductor wafers
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6200387B1 (en) * 1998-10-30 2001-03-13 Dangsheng P. E. Ni Method and system for processing substrates using nebulized chemicals created by heated chemical gases
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6383330B1 (en) 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber
US6547922B2 (en) * 2000-01-31 2003-04-15 Canon Kabushiki Kaisha Vacuum-processing apparatus using a movable cooling plate during processing
US6559424B2 (en) 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
JP2004014543A (ja) * 2002-06-03 2004-01-15 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
JP4058364B2 (ja) * 2003-03-18 2008-03-05 株式会社日立製作所 半導体製造装置
US7108753B2 (en) * 2003-10-29 2006-09-19 Asm America, Inc. Staggered ribs on process chamber to reduce thermal effects
US7169233B2 (en) * 2003-11-21 2007-01-30 Asm America, Inc. Reactor chamber
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US7718225B2 (en) * 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
KR100899564B1 (ko) * 2007-09-17 2009-05-27 주식회사 넥스트론 급속 열처리 프로세스 장치
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59178718A (ja) * 1983-03-29 1984-10-11 Sony Corp 半導体基体の処理装置
JPS63200526A (ja) * 1987-02-17 1988-08-18 Shinetsu Sekiei Kk 減圧熱処理装置に用いられる反応管

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3623712A (en) * 1969-10-15 1971-11-30 Applied Materials Tech Epitaxial radiation heated reactor and process
US4496609A (en) * 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
US3744964A (en) * 1971-05-28 1973-07-10 Texas Instruments Inc High temperature diffusion tube
US3830194A (en) * 1972-09-28 1974-08-20 Applied Materials Tech Susceptor support structure and docking assembly
US4047496A (en) * 1974-05-31 1977-09-13 Applied Materials, Inc. Epitaxial radiation heated reactor
US4081313A (en) * 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
JPS6054919B2 (ja) * 1976-08-06 1985-12-02 株式会社日立製作所 低圧反応装置
JPS60236216A (ja) * 1984-05-09 1985-11-25 Toshiba Mach Co Ltd 気相成長装置
DE3544812A1 (de) * 1985-12-18 1987-06-25 Heraeus Schott Quarzschmelze Doppelwand-quarzglasrohr fuer die durchfuehrung halbleitertechnologischer prozesse
JPS62152123A (ja) * 1985-12-26 1987-07-07 Matsushita Electric Ind Co Ltd 気相成長装置
JPS62166624U (ja) * 1986-04-14 1987-10-22
JP2645474B2 (ja) * 1987-03-04 1997-08-25 富士通株式会社 気相成長装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59178718A (ja) * 1983-03-29 1984-10-11 Sony Corp 半導体基体の処理装置
JPS63200526A (ja) * 1987-02-17 1988-08-18 Shinetsu Sekiei Kk 減圧熱処理装置に用いられる反応管

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0794419A (ja) * 1993-09-20 1995-04-07 Hitachi Ltd 半導体処理装置
JP2007314884A (ja) * 1995-08-03 2007-12-06 Asm America Inc 内部支持部材を有するプロセスチャンバ
JP2006507680A (ja) * 2002-11-22 2006-03-02 アプライド マテリアルズ インコーポレイテッド 裏側加熱チャンバ
JP2013016453A (ja) * 2011-06-30 2013-01-24 Samsung Display Co Ltd プラズマを利用した基板処理装置、およびこれを利用した有機発光表示装置の製造方法
JP2019071404A (ja) * 2017-06-20 2019-05-09 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ 半導体加工装置および半導体加工装置を較正する方法

Also Published As

Publication number Publication date
DE69025972T2 (de) 1996-08-08
US4920918A (en) 1990-05-01
EP0393809A2 (en) 1990-10-24
KR0181942B1 (ko) 1999-10-01
DE69025972D1 (de) 1996-04-25
KR900017092A (ko) 1990-11-15
EP0393809B1 (en) 1996-03-20
ES2086367T3 (es) 1996-07-01
EP0393809A3 (en) 1991-05-29

Similar Documents

Publication Publication Date Title
JPH02299225A (ja) 半導体加工のための耐圧熱反応装置システム
US5194401A (en) Thermally processing semiconductor wafers at non-ambient pressures
US6454863B1 (en) Compact process chamber for improved process uniformity
US7108753B2 (en) Staggered ribs on process chamber to reduce thermal effects
EP1382058B1 (en) Rapid thermal processing system for integrated circuits
EP1132506B1 (en) Thermal processing apparatus
JP6353026B2 (ja) Epiベースリング
US6540837B2 (en) Quartz wafer processing chamber
JP5347214B2 (ja) 載置台構造及び熱処理装置
KR101647958B1 (ko) 처리 챔버용 밀봉 장치
US20020092471A1 (en) Semiconductor deposition apparatus and shower head
KR101046043B1 (ko) 노용 다중 구역 히터
KR20040019109A (ko) 플라즈마 챔버용의 현가형 가스 분배 매니폴드
US6007633A (en) Single-substrate-processing apparatus in semiconductor processing system
US6121581A (en) Semiconductor processing system
EP1097470B1 (en) Infra-red transparent thermal reactor cover member
US7528347B2 (en) Cooling device and heat treating device using the same
US7169233B2 (en) Reactor chamber
KR20230004325A (ko) 석영 불투명화를 감소하기 위한 반도체 증착 반응기 및 구성 요소
KR200365533Y1 (ko) 저압 화상기상증착 장치의 반응로
JP4071314B2 (ja) ウエーハ熱処理装置
KR100240252B1 (ko) 반도체 제조 장치
JPH0587129B2 (ja)
JPH0554691B2 (ja)
JPH07230965A (ja) 熱処理装置