JPH021944A - 半導体デバイス作成法及び半導体デバイス - Google Patents

半導体デバイス作成法及び半導体デバイス

Info

Publication number
JPH021944A
JPH021944A JP63306210A JP30621088A JPH021944A JP H021944 A JPH021944 A JP H021944A JP 63306210 A JP63306210 A JP 63306210A JP 30621088 A JP30621088 A JP 30621088A JP H021944 A JPH021944 A JP H021944A
Authority
JP
Japan
Prior art keywords
metal
silicide
region
semiconductor device
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP63306210A
Other languages
English (en)
Other versions
JP2769169B2 (ja
Inventor
Ruichen Liu
リューシャン・ルー
William T Lynch
ウィリアム トーマス リンチ
David S Williams
デビッド ストレート ウィリアムス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AT&T Corp
Original Assignee
American Telephone and Telegraph Co Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=22436758&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH021944(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by American Telephone and Telegraph Co Inc filed Critical American Telephone and Telegraph Co Inc
Publication of JPH021944A publication Critical patent/JPH021944A/ja
Application granted granted Critical
Publication of JP2769169B2 publication Critical patent/JP2769169B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/019Contacts of silicides

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Bipolar Transistors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [産業上の利用分野] 本発明は、半導体集積回路(IC)デバイス等の半導体
デバイスの作製方法及びその結果得られるデバイスに関
する。
[従来技術の説明] 半導体ICは、半導体基板上あるいは内に形成された、
電気的に相互接続された複数個のディスクリートデバイ
スを有するデバイスである。この種のICには、MOS
(金属−酸化物一半導体)及びMES(金属−半導体)
ICが含まれる。MOSICは、通常、複数個のMOS
FET(MO8電界効果トランジスタ)を有し、その各
々が、シリコン等の半導体材料よりなる活性表面層を有
している。各々のMOSFETは、さらに、前記活性層
の表面上に形成された比較的薄いゲート酸化物(GOX
) 、GOXの表面上に形成された、例えば多結晶シリ
コン(ポリシリコン)よりなるゲート電極、及び、MO
SFETのソース及びドレインを形成するゲート電極の
反対側の活性層の比較的高a度にドープされた2部分を
有している。
比較的厚い(GOXと比較して)フィールド酸化物(F
OX)が、MOSFETを互いに分離して電気的に絶縁
する役割を担っている。同様に、MESICは、通常、
複数個のMESFET(MES電界効果トランジスタ)
を有し、MESFETが〜IO3FETと異なる点は、
MESFETのゲート電極が金属あるいは、例えば金属
珪化物等の金属含有化合物であって、直接半導体材料と
接触している点である。
現〆「、市販のICにおいては、ゲート電極の長さ、従
って、電流チャネル長は通常約2μmであるが、ソース
及びドレイン双方の厚さは通當約0.5μmである。し
かしながら、より増大させられた(ディスクリートデバ
イスの)実装密度を達成するためには、ゲート電極長(
従って電流チャネル長)は、約1μm以下の値まで小さ
くされることが期待されている。それに付随して、通常
、短チヤネル効果と呼ばれる、ある種の望ましくない影
響を回避するために、ソース及び/あるいはドレインの
厚さが約0.2μm以丁の値にまで小さくされることが
、さらに期待されている。(本明細書において短チヤネ
ル効果と呼称する、望ましくない効果は、チヤネル長の
ソース/ドレイン厚に対する比が比較的小さい−例えば
約2.5以下−場合に生ずる、望ましくないスレッショ
ルド電圧のシフト及びソース−ドレインサブスレッショ
ルドリーク電流である。当該短チヤネル効果に関しては
、例えば、ジェイ・アール・ブリユース(J、I?。
Brews)らによる、“MOsFET縮小化への一般
的指針“、アイ・トリプル・イー・エレクトロニクス・
デバイス・レターズ(IEE EIect、Dcv、L
ett、)第EDL−1@第1号第2頁(1980)を
コ照。)重要なことは、比較的浅いソース及びドレイン
−すなわち、約0.2μm以下の厚さを有するもの−は
、望ましくないほど大きな抵抗を示し、これが、デバイ
スの性能に悪影響を与えてしまうことである。比較的浅
いソース及びドレインを用いて比較的低いソース/ドレ
イン抵抗を実現するために、例えば珪化モリブデン(M
 o S l 2 ) 、珪化タンゲスクン(W S 
i2 ) 、あるいは珪化チタン(TiSi2)等の、
金属珪化物よりなる重畳層を含有するように比較的薄い
ソース及びドレインを形成する、という提案がなされて
いる。この種の珪化物を利用する目的は、低抵抗電流シ
ャントとして機能させることである。この意味では、金
属珪化物に覆われたソースあるいはドレインの実効(電
気的)厚、すなわち、ソース−ドレイン−ゲート相互作
用に係る厚みは、金属珪化物及びその下部の、活性層の
表面から側ってその下部に拡がる、(高濃度にドープさ
れた)ソースあるいはドレインの複金属である。
従来の、金属珪化物に覆われたソース及びトレインを有
するICを作製する試みは、ソース及びドレインの形成
のための従来技術に係る方法の使用を含んでいる。すな
わち、シリコン基板上のソース及びドレインとなるべき
鎖酸にソース/ドレインドーパントを注入・拡散し、そ
れに引続いて当該表面上へMOlW及びTi等の金属を
デポジットする、という方法である。デポジットされた
金属は、その下層のソース及びドレイン部分のシリコン
と反応させられて(それゆえ少量のシリコンを消費して
)対応する金属珪化物を形成する。
この方法は、ソース及びドレインが初期に(金属珪化物
の形成前に)シリコン中へ比較的深く、すなわち、0.
25μmより深くまで拡がっている場合に有効であるこ
とが証明されている。しかしながら、この方法は、ソー
ス及びドレインが初期に比較的小さい距離、すなわち0
.25μm以下しか拡がっていない場合には望ましくな
いことが明らかとなっている。その理由は、色々あるが
、特に、金属珪化物の形成時に望ましくないほど多量の
ソース/ドレインのシリコンが消費され、金属珪化物が
スパイク状に、ソース/ドレインのp−n接合を貫いて
形成されてしまい、回路の短絡が生ずるからである。
金属珪化物に覆われたソース及びドレインを形成するた
めの異なった技法が、エイチ・オカバヤシ(1,0ka
bayashl)らによって、IEDM予稿集第670
−873頁(1983年)に、“珪化物を貫く横方向へ
の拡散を用いた、新しい低抵抗浅接合形成法″という題
目で記述されている。この技法に従うと、ソース及びド
レインは、まず、シリコン基板表面上に、例えば0.1
μmの厚さを有する珪化モリブデン(M o S l 
2 )領域を作製することによって形成される。このこ
とは、モリブデンをシリコン基板上にデポジットして、
当該モリブデンをその下部のシリコと反応させることに
よって実現される。その後、ソース/ドレインドーパン
トが珪化モリブデンに拡散され、当該領域からその下部
のシリコンへと拡散されてソース/ドレインP−n接合
が形成される。すなわち、珪化モリブデンに覆われたシ
リコン基板が、充分に高温かつ充分に長時間、例えば、
1000℃で20分、加熱させられている間に気体状あ
るいは固体のドーパント源と接触させられて、珪化モリ
ブデン、そして続いてその下部のシリコンへのドーパン
トの拡散が単一ステップで実現される。あるいは、第1
ステツプが、ドーパントを気体状あるいは固体のドーパ
ント源からの珪化モリブデン(シリコンではなく)へ、
まず珪化モリブデンに覆われたシリコン基板を比較的低
温、例えば800℃で加熱することによって拡散させる
段階であり、引続いて当該基板を実質的により高い温度
、例えば950あるいは1000℃で加熱することによ
ってドーパントを珪化モリブデンからシリコン内へ拡散
させる、という2ステツププロセスで拡散させてもよい
。重要なことは1ステツプ拡散プロセスにおいては比較
的厚いソース及びドレインが得られることである。
例えば、珪化モリブデンが0.1μmの厚の場合、ソー
ス及びドレインは、珪化物の上部表面から約1.5μm
下まで拡がっている。2ステツププロセスの方がより浅
いソース及びドレインが得られるが、それらも比較的厚
いものである。例えば、珪化モリブデンが0.1μm厚
の場合には、ソース及びドレインは珪化物の上部表面か
ら約0.36μm下まで拡がっている。
従来、金属珪化物に覆われたソース及びドレインを形成
するために用いられた他の方法においては、まずシリコ
ン基板上に珪化タングステン(WSiz)の領域が形成
され、ドーパントが当該珪化タングステンに(拡散され
るのではなく)注入される。(エフ・シー・ショーン(
P、C,5hone)らによる“o、iμmのN” /
P及びP  /N接合のドープ珪化物テクノロジーによ
る形成’、IEDM予稿集、第407−410頁(19
85年)を参照。)その後、注入されたドーパントが、
従来の電気炉を用いたアニーリング技法あるいは高速サ
ーマルアニーリング(RTA)技法を用いた基板の加熱
により、珪化タングステンからシリコン基板へ拡散され
る(RTAに関しては、ティー・オー・セドウック(T
、O,Sedgwick)による“短時間アニリング、
ジャーナル・オブ・ジ・エレクトロケミカル書ソサエテ
ィ(Journal of Electorochcm
ical 5ociety)第130巻第48頁(19
83年)を参照。)重要なことは(エフ・シー・ショー
ンによる前掲文献で教示されているように)、珪化タン
グステン領域の厚みは0.2μmであり、対応する注入
量、加熱温度及び加熱時間が、全て(思うに)特にこの
特別な珪化タングステン厚に対して決められているとい
う点である。その結果、この技法によっでは、(珪化タ
ングステンの上部表面から測った)ソース及びドレイン
厚が0.3μm以上のものしか得られない。
上述の技法の変形が、エフ・コバヤシ(N、Kobay
ashi)らによる“サブミクロンCM OS用TiS
i 及びW S l 2珪化物浅接合の比較″ (19
86年度VLSI技術に関するシンポジウム予稿集、す
ンジエゴ)1こシ己述されている。それ1こよれば、O
0■μm厚の珪化タングステンあるいは珪化チタンのい
ずれかの領域がまずシリコン基板上に形成され、ソース
/ドレイン用ドーパントが当該珪化物中に注入されて、
当該珪化物からシリコンへと拡散される。しかしながら
、タングステン珪化物の場合には、モしてエヌ・コバヤ
シらの手積の第1図に示されているように、当該著者た
ちは、ドーパントの注入量、加熱温度及び加熱時間を示
しているが、それに従うと、(珪化物タングステンの上
部表面からAl11った)ソース及びドレイン厚が0.
2μmよりはるかに大きい、例えば0.26μm及び0
.28μm1ものとなってしまう。それとは対照的に、
珪化チタンの場合には、前記第1図に示されているよう
に、当該著者たちは、ヒ素注入量、加熱温度及び加熱時
間を示しており、それに従うと(珪化チタンの上部表面
から測った)ソース及びドレイン厚が0.2μm以下、
すなわち約0.16μm1となる。しかしながら、前記
第1図より明らかなように、珪化チタン/シリコン界面
におけるヒ素の濃度は比較的低くなる。この事実は非常
に重要である。なぜなら、(当該手積における著者らに
よる主張とは反して)この比較的低い界面濃度のために
、望ましくないほど高い、すなわち実質的に10−6Ω
cm”より高い、特性接触抵抗Rとなってしまう。実際
、当該手積集において、著者らは、界面ヒ素濃度を上げ
るため(おそらくRを低下させる目的で)、さらにヒ素
をシリコン(珪化チタンにではなく)注入することが望
ましいことを示唆している。残念ながら、良く知られて
いるように、このような方法は望ましくない。なぜなら
、シリコン基板は、シリコン中の注入量1藁をアニリン
グにより消滅させるために比較的高温にまで加熱されな
ければならず、そのため、さらにドーパントの拡散が進
み、その結果として比較的深いソース及びドレインが生
じてしまうからである。
それゆえ、IC作製法の開発に携わっている者は、Rが
約to’Ωc112以下の比較的浅い、金属珪化物を含
むソース及びドレインを有するICの作製法を追及して
きたが、これまでのところ成功には至ってはいない。
(発明の概要) 本発明は、金属を含む材料領域、すなわち、金属元素あ
るいは金属珪化物等の金属含有化合物、によって覆われ
たソース及びドレインを形成するための新しい技法に係
るものである。重要な点は、本発明に係る技法により、
(当該材料領域の上部表面から)約0.2μm以下の厚
みを有し、Rが10−6ΩcII+2以下の、当該材料
に覆われたソースあるいはドレインが得られることであ
る。
本発明に係る技法に従って、まず、平均厚t1が約0.
2μm以下の金属含有材料領域が、半導体基板の表面上
に形成される。続いて、1種類あるいはそれ以上のドー
パントが当該領域に注入され、これらのドーパントを当
該領域から基板に拡散させるために、当該半導体基板が
加熱される。重要なことは、Rを約10−6ΩCll1
2以下とするため、当該加熱過程により、当該材料領域
/基板界面にすぐ隣接したところにおける、基板中の少
なくとも一種のドーパント濃度を、基板加熱前の界面で
のショットキーポテンシャル障壁の高さによって決定さ
れる最小値以上にしなければならないことである。この
最小値を達成するためには、以下の3つの条件が満たさ
なければならない。第1番目の条件は、少な(とも一種
のドーパントの基板中における固体溶解度が、当該加熱
過程において用いられる温度では、上記の最小値以上で
なければならないことである。第2の条件は、当該同一
ドーパントに関して、前記材料領域の平均厚t5に対す
る当該ドーパントの注入量I、の比が加熱過程の温度に
おける当該材料領域での当該ドーパントの固体溶解度の
半分以上でなければならないことである。(この意味で
は、エヌ・コバヤシらの前掲文献で用いられたヒ素注入
量が、本発明に係る、当該第2限界条件を満足しないこ
とが、わかっている。)必要な界面濃度を達成し、利用
価値のあるソース/ドレイン接合を実現する、という双
方の目的のために満足されなければならない第3の条件
は、当該同一ドーパントに関して、前記材料領域に注入
されたドーパントイオンの総数が、実質的に、その下部
の、拡散させたソースあるいはドレインを形成するため
に必要な数よりも大きくなければならないことである。
基板中の、当該材料領域/基板界面にすぐに隣接したと
ころの必要なドーパント濃度の最小値をCとし、当該材
料領域の底部に対するソース/ドレイン接合厚の望まし
い深さをXとするとき、当該第3条件は、!≧5C−X
/2であるならば自動的に充足される。多くの場合、前
記第2条件を充足させる注入量は、自動的に第3条件を
も充足させる。しかしながら、注入量が第2条件を充足
させるが、5C−X/2より小さい場合、この注入量を
C8・X/2以上の量だけ増加させることによって、当
該第3条件は確実に充足させられる。
本発明に係る技法は、さらに、(以下に記述されるよう
な)所定厚を有するソースあるいはドレインを生成する
ために必要な加熱温度−時間プロファイルを選択する方
法をも含有するものである。
(実施例の説明) 本発明は、Mo5あるいはMES半導体IC等の半導体
デバイスの作製方法及びその結果得られるデバイスの双
方に係るものである。重要なことは、本発明に従って作
製されたデバイスは、例えばタングステン(W)等の金
属元素を含む領域である、金属含有領域によって覆われ
た、少なくとも1つのソースあるいはドレインを有して
いる。
あるいは、当該金属含有領域は、例えば、珪化コバルト
(CoSi  )、珪化チタン(T t S t 2 
)、珪化タングステン(W S l 2 ) 、珪化モ
リブデン(MoSi)、珪化タンタル(T a S L
 2 )あるいは珪化プラチナ(PtSt2)などの金
属珪化物等金属含有化合物を有している。さらに、当該
材料領域によって覆われたソースあるいはドレインの、
当該材料領域の上部表面から7Il11つだ厚みは、約
0,2μm以下である。(本明細書において、材料領域
によって覆われたソースあるいはドレインの厚みは、当
該材料領域の上部表面に対して行なった最小二乗法によ
る近似平面から、ソースあるいはドレインと、当該ソー
スあるいはドレインが形成されている半導体基板との間
の界面の最深点までの垂直方向の長さである。例えば、
ソースあるいはドレインがn型の伝導性を有する場合、
界面は、ソースあるいはドレイン中のn型ドーパントの
濃度が基板中のp型ドーパントの濃度と等しい点の軌跡
であり、その逆も成り立つ。この種の界面は、二次イオ
ン質量分析(S IMS)等の従来技術に係る方法で、
容易にその位置を決定される。)さらに、当該材料領域
の、その下部の(拡散させた)ソースあるいはドレイン
に対する特性接触抵抗、R、は10−6ΩCl112以
下である。
Rは、ケイ・ケイ・ラン(K、に、Ng、)による“M
o 03FETの接触抵抗を測定する新しい方法″(アイ・
トリプル・イー・エレクトロン・デバイス(IEEE 
Electron Device)第34巻第544頁
(1987年)に記載されている、従来技術に係る方法
を用いて容易に測定される。) 本発明に係る方法に従って、材料領域によって覆われた
ソース及びドレインが、例えばシリコンあるいはガリウ
ムヒ素等の半導体材料よりなる基板上に、当該材料領域
をまず形成することによって、形成される。この種の材
料領域は、例えば、従来技術に係るスパッタリング技法
等の従来の技法を用いて基板上に当該領域を形成する材
料をデポジットすることにより、形成される。あるいは
、当該材料領域が例えば金属珪化物を含有し、かつ当該
基板がシリコンである場合には、当該材料領域は、少な
くとも一部は、対応する金属元素をシリコン基板上にデ
ポジットして、当該デポジットさせた金属を、その下層
のシリコンと反応させて金属珪化物を形成することによ
って、容易に形成される。約0.2μm以下の(上に定
義された)厚みを有する、材料領域に覆われたソースあ
るいはドレインを実現するためにには、当該材料領域(
一般には、−様な厚みを有さない)の最大厚、従って平
均厚、tlが0.2μm未満でなければならない。加え
て(−様な厚みを有さない)当該材料領域の最小厚が、
約0.01μm以上であることが望ましい。約0.01
μm未満の最小厚は望ましくない。なぜなら、当該材料
領域の対応する(すなわち、約0.01μm未満の)領
域は、しばしば好ましくないほど多数のピンホールを有
し、そのため、ドーパント注入の間に、好ましくないほ
ど多数のドーパントが、当該材料領域ではなく基板中へ
注入されてしまうからである。
前記材料領域の形成の後、1つあるいは多数の相異なっ
たドーパントが当該材料領域に注入され、引続いて、所
定のソースあるいはドレインを形成するために、前記基
板が加熱されて、注入されたドーパントが当該材料領域
から当該基板へ拡散される。当該基板を形成している半
導体材料がp型の伝導型を有する場合には、注入された
ドーパントは基板中で電子ドナーとなり、当該基板を形
成している半導体材料がn型の伝導型を有する場合には
、当該ドーパントは電子アクセプターとなる。
例えば、シリコンの場合には、よく用いられるドナーイ
オンにリン(P)及びヒ素(As)イオンが含まれ、よ
く用いられるアクセプターイオンには、ホウ素(B)イ
オンが含まれる。
既に議論したように、10−6ΩCl12以下のRを達
成するためには、基板加熱処理により基板内の、前記材
料領域/基板界面に直ぐ隣接した位置における少なくと
も1つの種類のドーパント濃度が、基板加熱の前に当該
材料領域/基板界面におけるショットキーポテンシャル
障壁の高さによって決定される最小値以上とならなけれ
ばならない。すなわち、当該ポテンシャル障壁高を知る
ことが、それに対応する最小値を意味する。この意味で
は、はとんど全ての金属含有材料とほとんど全ての半導
体材料との間のショットキーポテンシャル障壁高は文献
から容易に得られる、すなわち、所定のRを得るために
必要な、界面ドーパント農度の対応する最小値が容易に
得られる。例えば、広範な種類の金属元素と、例えばn
型あるいはn型シリコンとの間の界面におけるジョツキ
−ポテンシャル障壁高は、ニス、エム、スゼ(S、MJ
ze)による半導体デバイスの物理第2版(ジョン・ウ
ィリイ・アンド・サンズ(〕ohn Wjley an
d 5ons)社、ニューヨー1981年)第292頁
に見出される。同様に、広範な種類の金属珪化物とn型
あるいはn型シリコンとの間の界面におけるショットキ
ーポテンシャル障壁高は、ニス◆ピー・ムラルカ(S、
P。
Murarka)によるVLSI応用のための珪化物(
アカデミツク・プレス(Acadea+ic Pres
s)社、ニューヨーク、1983年)第15頁に見出さ
れる。さらに、はとんど全てのショットキーポテンシャ
ル障壁高に対応する、1O−6ΩcIB2以下のRを得
るために必要なドーパント濃度の最小値も、ニス・エム
・スゼによる前掲書箱304−305頁に見出される。
例えば、前記材料領域が珪化コバルト(CoSi2)を
含有し、前記基板がn型シリコンで、注入されたn型ド
ーパントのうちの一種類がヒ素(AS)である場合には
、ニス・ビー・ムラルカによる前掲書に従うと、対応す
るショットキーポテンシャル障壁高は0.64ボルト(
V)であり、ニス・エム。スゼによる前掲書箱304−
305頁に従うと、ヒ素濃度の対応する最小値はおよそ
1×1021020cIとなる。同様に、当該材料領域
が珪化チタン(TiS l 2 )を含有し、当該基板
がn型シリコンで、注入されたp型ドーパントのうちの
一種類がホウ素(B)である場合には、ニス・ビー・ム
ラルカによる前掲書に従うと、対応するショットキーポ
テンシャル障壁高は0.5Vであり、ニス・エム・スゼ
による前掲書箱304−305頁に従うと、ホウ素濃度
の対応する最小値はおよそ3 X 1019cm−3と
なる。
さ′らに、既に議論したように、lo−6Ωcm2以下
のRを得るために必要とされる、前記材料領域/基板界
面に直ぐ隣接した位置におけるドーパント濃度を達成す
るためにには、3つの条件が充足されなければならない
ことが見出される。第1の条件は、少なくとも一種類の
ドーパントの当該基板中における固体溶解度が基板加熱
処理の間に用いられる温度において、既に議論した当該
最小界面濃度以上でなければならないことである。重要
なのは、半導体中におけるドーパントの固体溶解度は、
一般に温度に対して非常に敏感であり、温度と共に増加
することである。その結果、当該第1条件は、実効的に
、前記基板加熱処理の間に用いられる温度に関する最小
値、Ta+in、を規定していることになる。すなわち
、少なくとも一種類のドーパントの前記基板中における
個体溶解度が、所定のRを得るために必要な、当該基板
中の前記材料領域/基板界面に直ぐ隣接した位置での最
小ドーパント濃度に少なくとも等しいことを保障するた
めにには、加熱温度は、Toxin以上でなければなら
ない。この意味では、広範な種類の半導体材料中の広範
な種類のドーパントの、温度の関数としての固体溶解度
は、文献から得られる(例えば、ニス・エム・スゼ編の
VLS Iテクノロジー(マグロウヒル(McGrav
−HI I l)社、ニューヨーク、1983年))に
おけるジエイ・シー・シー・ツァイ(J、J、C,Ts
al)による第198−199頁を参照)。その結果、
当該第1条件を充足させるために必要なTm1nは容易
に決定される。例えば、シリコン中のヒ素の個体溶解度
は、740℃、800℃及び900℃において、それぞ
れ、1×1021020CI、1.5X1020C11
−3及び2×1020C11−3である。(ジエイ・シ
ー・シー・ツァイによる、前掲書参照。)ヒ素がp型シ
リコンにおけるn型ドーパントとして用いられ、前記材
料領域がCo S l 2である場合には(既に議論し
たように)所定のRを得るために必要な最小界面濃度は
1×1020C11−3であり、従ってTm1nは74
0℃となる。同様に、シリコン中のホウ素の800℃及
び900℃における固体溶解度は、それぞれ、3 X 
1019cm−3及び6×1019C11−3である。
ホウ素がn型シリコン中におけるp型ドーパントとして
用いられ、かつ前記材料領域がTiS i2である場合
には、(やはり、既に議論したように)所定のRを得る
ために必要な最小界面濃度は3 X 1019cn+−
3テあり、従ってT okinは800℃となる。
充足さるべき第2の条件は既に言及された、少なくとも
一種類のドーパントに対して、対応する注入量、11の
前記÷オ料領域の平均厚、tlに対する比、すなわち、
I/lが、前記基板加熱処理において用いられる(対応
するTmln以上である必要がある)温度における、当
該ドーパントの当該材料領域における固体溶解度の1/
2以上、望むらくはそれ以上でなければならないことで
ある。
ここで議論されている固体溶解度とは、前記材料領域と
前記基板との界面を含む当該材料領域における前記少な
くとも一種類のドーパントの、前記基板加熱過程で用い
られる温度における飽和レベルを表わしている。重要な
のは、当該飽和レベルが温度に対して敏感、すなわち一
般的に温度と共に上昇することである。
加えて、当該飽和レベルは、当該材料領域の組成及び当
該領域の結晶化の度合いに依存している(すなわち、例
えば多結晶材料の場合には、ドーパントは結晶粒(グレ
イン)内及び結晶粒間の境界内の双方に分布している)
さらに、当該飽和レベルは、当該材料領域/基板界面の
性質、すなわち、ドーパントが当該界面において比較的
高濃度となるか否かに依存している。
一般に、(当該材料領域/基板界面を含む)当該材料領
域内のドーパントの、基板加熱処理の間に用いられる温
度における固体溶解度は、経験的に決定される。すなわ
ち、本発明に従って、当該材料領域がデバイスに用いら
れた場合と同一の平均厚、tlを有する当該材料領域の
対照試料が、(当該デバイスに用いられるものと同一の
組成及び構造を有する)半導体基板上に(当該デバイス
の作製時に用いられるものと同一の技法を用いて)形成
される。(重要なのは、以下により詳細に説明されてい
るように、対照試料の上部表面の領域Aが当該材料領域
のそれと同一である必要がない、ということである。) 対照試料を支持する基板が真空炉、すなわち、内部気圧
が例えば1O−6トールまで低下させられた電気炉、中
に配置される。
その後、所定のドーパントを含有するガスが当該炉中に
流入させられる。例えば、ドーパントがリンである場合
には、よく用いられるリン含有ガスはPH3である。同
様に、ドーパントがヒ素である場合には、よく用いられ
るヒ素含有ガスはAsH3であり、ドーパントがホウ素
である場合には、よく用いられるホウ素含有ガスはB2
H6である。
(PH、AsH及びB 2 Heは非常に有毒なガスで
あり、適切な安全対策がなされなければならないことに
留意されたい。)この意味では、ドーパント含ffガス
の置皿は充分高く、少なくとも当該ガスの分圧は残留気
体の分圧の100倍でなければならない。すなわち、残
留気圧が1o−6h−ルである場合には、ドーパント含
有ガスの分圧は少なくとも10’トールでなければなら
ない。この条件により、前記対照試料が(次の段階の間
)残留汚染源ではなく、実質的にドーパントのみによっ
て飽和されていることが保障される。ドーパント含有ガ
スを前記炉中に流入させている間、当該炉は所定の温度
、すなわち、基板加熱段階で用いられる温度、まで加熱
される。対照試料内でのドーパントの飽和を達成するた
め、この温度は少なくとも1分間、望むらくは最低30
分間、保持されなければならない。
ドーパント含有ガスに晒された後、当該対照試料は前記
炉中より取り出され、当該対照試料の、対照試料/基板
界面を含む領域におけるドーパント原子数Nが、例えば
従来技術に係るSIMS技法を用いて測定される。前記
材料領域におけるドーパントの固体溶解度はN/Atで
ある。(原子の総数、N1は表面領域、A、に−次で比
例していることに留意されたい。よって、同一平均厚、
tlを有し、異なった表面領域を有する対照試料は、同
一の固体溶解度を与えることになる。)以上より、第2
条件を充足させるためには、■が■/l≧0.5N/A
tを満たすように選択されなければならない。
上述の経験的な手続きを用いて、例えば、前記・材料領
域が珪化コバルトよりなり、平均厚0.07μmでシリ
コン基板上に存在して、ドーパントがヒ素である場合、
温度800℃で5 X 1015cm’以上のドーパン
ト量が前記第2条件を充足させることが見出されている
。同様に、当該材料領域が珪化チタンよりなり、平均厚
0.08μmでシリコン基板上に存在して、ドーパント
がホウ素である場合、温度800℃で1. X 101
6cm−2以上のドーパント量が第2条件を充足させる
所定のRを達成し、かつ利用しやすいソース/ドレイン
接合(すなわち、例えば電圧0.5V。
温度25℃での、順方向電流の逆方向電流に対する比が
106以上であるような接合)を実現するために充足さ
るべき第3の条件は、少なくとも一種類のドーパントに
対して、前記材料領域に注入されたドーパントイオンの
総数が、その下層の拡散ソースあるいはドレインを形成
するために必要な数より実質的に大きくなければならな
いことである。
当該材料領域/基板界面に直ぐ隣接した、基板内部にお
ける位置でのドーパント濃度の最小値をCとし、当該材
料領域の底部から測定した、ソ−ス/ドレインp−n接
合の所定の厚みをXと表わすと、当該第3条件は、■≧
5C−X/2であるならば自動的に充足される。多くの
場合には、前記第2条件を充足させる注入量は当該第3
条件を自動的に充足させる。しかしながら、注入量が前
記第2条件を充足させるが5C−X/2未満である場合
には、当該第3条件は、C−X/2以上前記注入量を増
加させることによって、確実に充足される。この意味で
は、前記の2つの注入量は、当該第3条件をも充足させ
ている。
前記金属含有材料領域に注入されるイオンのエネルギー
は、実質的に全てのイオン、すなわち、少なくとも90
96のイオンが、その下部の半導体基板にではなく、当
該材料領域内に注入されるように選択されることに留意
しなければならない。この意味では、シリコンは、本発
明に係る具体例において用いられる金属含a領域よりも
密度が小さく、同一エネルギーを有する同一イオンが当
該材料領域の場合に比べより深部までシリコン中に浸入
する、ということにも留意しなければならない。
その結果、実質的に全てのイオンが当該材料端域に注入
されることを保障するためには、イオンエネルギーは、
当該材料領域厚以下の、シリコンに対する侵入深度を与
えるように選択されなければならない。(イオンエネル
ギーの関数としての、シリコンに対する侵入深度は、ア
ール・エイーコルクレイサー(R,A、Co1clas
er)によるマイクロエレクトロニクス・プロセシング
及びデバイス設計(ウィリーアンドサンズ社、ニューヨ
ーク、1980年)第150−153頁、に見出される
。)例として、平均厚0.07μmの珪化コバルトeJ
i域にヒ素イオンを注入する場合、[iok e V以
下の注入エネルギー(90%のヒ素イオンがシリコン中
に0607μm以下の深度まで侵入することになる、ヒ
素イオンのエネルギー)により実質的に全てのヒ素イオ
ンが珪化コバルトに注入されることが保障される。同様
に、平均厚0.08μmの珪化チタン領域にホウ素イオ
ンを注入する場合、10keV以下の注入エネルギー(
90%のホウ素イオンがシリコン中に0.08μm以下
の深度まで侵入することになる、ホウ素イオンのエネル
ギー)により、実質的に全てのホウ素イオンが珪化チタ
ンに注入されることが保障される。
本発明は、さらに、所定のソース/ドレイン厚を得るた
めに基板加熱の間に用いられる温度−時間プロファイル
を選択するために反復技法も包含している。基板のドー
ピングレベル、NA1及び前記材料領域の平均厚t、が
ここでは、既知あるいは規定されていることが仮定され
ている。さらに、前述の3つの条件が充足されていて、
基板加熱の結果、前記材料領域/基板界面に直ぐ隣接し
た位置における基板中のドーパント濃度が、所定のRを
得るために必要とされる(既知の)最小値01以上とな
ることも仮定されている。まず、簡単のために、Twi
n以上であることが必要である単一温度、T%のみが当
該加熱プロセスで用いられる、ということをさらに仮定
する。変数X′を前記材料領域の底部から測定した、拡
散ソースあるいはドレイン内の垂直方向の位置を表わす
ものとし、τが時間を表わし、D (−D (T) )
がドーパントの基板中への拡散係数、 C(−C(X′
、τ)がソースあるいはドレインにおけるドーパント濃
度、そしてC′が10−6Ω(J2以下のRを得るため
に必要な、材料領域/基板界面に直ぐ隣接した位置にお
ける所定のドーパント濃度、とすると、Cは次式によっ
てよく近似される:C(x’、r)x Co’erfc
(x’ / 2f目。
ここで、erfcは、相補的誤差関数を表わす。ソース
あるいはドレインの底部、すなわちX′がX(前記材料
領域の底部から測定したソースあるいはドレインの所定
の厚み)に等しい場所においては、C(X、τ)はNA
に等しくなければならない。それゆえ、(1)式は erfc(x/21町mr%/Co’、       
(2)となる。(2)式の右辺は既知の量であるため、
(2)式の相補的誤差関数の引数、すなわち、X/2J
璽−は既知、例えばerfc表より直ちに決定されるこ
とになる。(例えば、エム・アブラモビッツ(M、Ab
ramowitz)及びアイ・エイ・セーガン(1,A
、Segun)編の数学関数ハンドブック(ドーパ−(
Dover)社、ニューヨーク、1968年)における
ワルター・ゴーチ(Walter Gautschi)
による項目(第295−318頁)を参照。)ところが
Xは既知である。加えて、Dは本質的に温度のみの関数
であり、加熱プロセス中には単一の温度のみが用いられ
るため、Dもやはり既知である。すなわち、単一の温度
Tに対応するDの値が例えば表から容易に決定される。
(例えば、ジェイ・シー・シー・ツァイによる前掲書箱
194−195頁を参照。)それゆえ、er「c関数の
引数の値が既知であり、X及びDの値が既知であるので
、τの値(加熱時間)は容易に導出される。よって、本
発明に係る反復手続きに従って、イオン注入のなされた
対照試料を担持する基板が、前述のように決定されたτ
の間、温度Tで加熱される。その結果得られたソースあ
るいはドレインの厚み(対照試料の底部から測定したも
の)が所定の値と異なる(例えば、S工MS技法により
決定する)場合には、加熱時間及び/あるいは加熱温度
が変更される。
複数の加熱温度T1、T2・・・、Toが対応する加熱
時間間隔τ1、T2・・・、τ。の間用いられることが
望まれる場合には、Cは次式によってよく近似されるこ
とが知られている。: C(x’、rl、72、”’fn)−Co’ erfc
(x’/2 1 lT1 22 r2°q、 (3)こ
で、D  、D   ・・・、D は、温度T1、T2
.1   2 ゝ     n ・・・、T における基板中のドーパントの拡散係数テ
する。よって、ソースあるいはドレインの底部、すなわ
ちx’−xにおいては、CはNA・に等しいから、 erl”c(X/2  fl  2r2 奪oro 5
NA/CO’−(4)となる。前の場合と同様に、(4
〉式の右辺は既知であるので、(4)式のerfc関数
の引数は容易に決定される。加えて、Xは既知であり、
T1、T2・・・、T が規定されていると仮定すれば
、Dl、D2、・・・、Dnも容易に決定される。それ
ゆえ、(4〉式を充足させるT1、T2・・・、τ。の
値か容易に決定される。前の場合と同様に、これらτの
値によって所定のソース/ドレイン厚が得られない場合
は、反復手続きに従ってτ及び/あるいはTが変更され
る。
上述の反復技法を用いて、前述の、ドープレベルI X
 11016a’かつヒ素を注入された珪化コバルト領
域を有するn型シリコン基板を800℃で130分間加
熱することにより、(珪化コバルト上部表面から測定し
た)厚みが0.15μmに等しいソースあるいはドレイ
ンが得られることが見出されている。同様に、前述のド
ープレベルlX1017C11−3かつホウ素を注入さ
れた珪化チタン領域を有するn型シリコン基板を800
℃で124分間加熱することにより、(珪化チタン上部
表面からall定した)厚みが0.2μmに等しいソー
スあるいはドレインが得られることが見出されている。
本発明をより完全に理解するための一助として、以下に
、nチャネルMO5IC及びCMO3(相補的金属−酸
化物−半導体)ICの作製に対する、本発明に係るデバ
イス作製法の応用を記載する。
(簡潔なものとするため、完全に従来技法に係るいくつ
かの段階は省略されている。) 第1図から第8図には、本発明の1つの具体例に係る、
nチャネルMO5ICの作製法が示されている。まず、
p型シリコン基板IO上に、例えば従来技術に係る酸化
技法を用いて、FOX20(すなわちS iO2からな
る比較的厚い層20)が形成される。基板10における
p型ドーパントのドープレベルは、例えば、1×1O1
5CL11−3である。さらに、FOX20の厚みは、
例えば0.35μmである。
次に、FOX20が、例えば、従来技術に係るリソグラ
フィー技法及び反応イオンエツチング(RIE)技法を
用いて選択的にエツチングされ、MOSFETが形成さ
れるべきGASAD (ゲート及びソース及びドレイン
)と呼称される、基板表面領域30が露出させられる。
例えば0.015μmの厚みを有するGOX40(すな
わち、S t 02よりなる比較的薄い層40)がGA
SAD領域30の表面上に、例えば、従来技術に係る酸
化技法を用いて形成される。 MOSFETのゲート電
極は、まず、多結晶シリコン(以下、ポリシリコンと呼
称する)層50を、GASAD領域30及びFOX20
上にデポジットすることにより、形成される。デポジシ
ョンは、例えば、従来技術に係る低圧化学気相成長法(
LPGVD)を用いて実行され、デポジットされたポリ
シリコンの厚みは、例えば0.3μmである。デポジシ
ョンの後、当該ポリシリコンは、ヒ素等のn型ドーパン
トを当該ポリシリコンに、注入量、例えばI X 10
15cm−2かつイオンエネルギー、例えば80keV
で注入することににより、導電性を持たせられる。
次に、当該デポジットされた導電性ポリシリコン50(
GOX40も同様)が、従来技術に係るRIE技法を用
いてパターン描画され、第4図に示されているような、
各々のG A S A D nR域30を覆うポリシリ
コン構造が形成される。重要なことは、当該ttM造の
各々の側壁が、対応するGASAD領域の表面に対する
垂線と角度αをなし、αは15゜以下、望むらくは0″
であることである。(第4図に示されたポリシリコン構
造の左の側壁に対しては、垂線から時計方向に711!
1定した場合にαが正となる。他方、右側壁に対しては
、この角度は反時計方向に測った場合に正となる。)こ
の、側壁角に関する限定は、以下に議論されるように、
2酸化シリコン側壁スペーサ55の形成に関連して重要
である。
以下に記載されたデバイス作製手続きにより、各々のM
OSFETのソース、ドレイン及びゲート電極に用いら
れる金属珪化物領域が形成される。
ゲート電極における金属珪化物領域とソース及びドレイ
ン電極における金属珪化物領域との間の電気的接触を避
けるために、各々のゲート電極のポリシリコン部が、各
々のポリシリコン側壁を覆っている2酸化シリコンスペ
ーサ55を含むように形成される。このことは、例えば
、テトラエチルオルソシリケート(TEOS)等の、シ
リコン及び金属含有材料を、前記ポリシリコン構造の上
部及び側壁表面上、及びGASAD領域の露出させられ
た領域及びFOXの上部及び側壁表面上にデポジットす
ることによって実現される。TEOSのデポジションは
、例えば従来技術に係るLPGVD技法を用いて容易に
実行され、TEOSの厚みは例えば0.1μmである。
デポジションの後、TEOSは、当該TEOSの密度を
増加させ、それを2酸化シリコン様の材料に実際に変換
するために、水蒸気雰囲気下で900℃で20分間加熱
されることが望ましい。その後当該TEO3は、FOX
及びGASAD表面上、さらに前記ポリシリコン構造の
上部表面上のTEOSを除去するために、エツチング剤
として例えばCHF  及び02を用いたRIE法等に
よって、非等方的にエツチングされる。重要なのは、当
該エツチング処理の後、前記側壁角が前述の条件を満た
している場合には、例えば0.06μm厚の高密度化さ
れたTEOS層が前記ポリシリコン構造の側壁上に残存
することである。
側壁スペーサ55の形成の後、シリコンと反応して金属
珪化物を形成しうるチタン等の金属よりなる層が、各々
のポリシリコン構造の上部表面上、側壁スペーサ55の
表面上、GASAD領域の露出させられた表面上(ソー
ス及びドレインが形成さるべき場所)及びFOX20の
上部及び側壁表面上にデポジットされる。このデポジシ
ョンは、例えば従来技術に係るスパッタリング技法を用
いて実現され、デポジットされたチタンの層厚は、例え
ば0.1μmである。デポジションの後、チタンに覆わ
れたシリコン基板は従来技術に係るRTA技法を用いて
窒素(N2)雰囲気で約600℃で約1分間加熱される
。チタン層がシリコンと接触している場所、すなわち、
前記ポリシリコン構造の上部表面及びGASAD領域の
表面においては、当該加熱プロセスにより、それぞれT
iN、Ti及びTiSi  (y≦2)よりなる連続し
た層が形成される。それに対して、デポジットされたチ
タン層が2酸化シリコンと接触している場所、すなわち
、FOX及び側壁スペーサの表面では、当該加熱プロセ
スにより、それぞれTiN及びTiよりなる連続した層
が形成される。
TiN及びTiよりなる層は、90℃に加熱されたH2
SO4:H2O2(1:1)溶液等の選択湿性エツチン
グ剤を用いたエツチングにより除去されるが、TiSi
  (y≦2)よりなる層は除去されない。次に前記ポ
リシリコン構造の上部表面及びGASAD領域の露出さ
れた表面を覆っている残存TLSi  (y≦2)層は
、従来技術に係るRTA技法を用いて、当該TiSi 
 層に覆われだシリコン基板を窒素雰囲気で例えば80
0℃で20秒間加熱することによって、その下層の(前
記ポリシリコン構造中及びシリコン基板中の)シリコン
とさらに反応させられる。その結果、TiS i2含有
領域60及び70(第5図参照)が各々のポシリコン構
造をはさみ当該構造に対する垂直方向のアラインメント
がとれた状態で、T I S l 2含有領域80が各
々のポリシリコン構造の頂部上に、それぞれ形成され、
各々のTi512層の層厚は約0.08μmとなる。(
当該領域の各々は、通常、約O0旧μmの厚みを有し、
Ti512を覆っているTiN層(良導体)を含んでい
る。)n+型のソース及びドレインを形成するために、
1種類あるいはそれ以上のn型ドーパント、例えば、ヒ
素イオン及びリンイオンが前記TiSi2含有領域60
及び70内に注入される。注入量は当然前述の3条件を
充足させるように選択される。加えて、イオンのエネル
ギーは、実質的に全てのイオンが領域60及び70に注
入されて、その下部のシリコンには注入されないように
選択される。
イオン注入の後、中間レベル誘電体90(第6図参照)
が当該基板上にデポジットされる。よく用いられるこの
種の中間レベル誘電体の一例は、アンドープのTE01
及びリンをドープしたTE01よりなる連続層で、前者
は例えば0.2μmの層厚を有し、後者は例えば0.8
μmの層厚を有するものである。
デポジットされた中間レベル誘電体90の上部表面は通
常非平面的であることは一般にはその後の処理において
望ましくない。当該中間レベル誘電体90を流動させて
、それによって表面の平面性を実現し、注入されたドー
パントをT iS t 2含有領域60及び70からそ
の下層のシリコンへ拡散させて珪化チタンに覆われたソ
ース及びドレイン100及び110(第7図り照)を形
成するために、当該基板は加熱される。既に議論された
ように、当該加熱処理における温度−加熱時間プロファ
イルは、約0.2μm以下の(既に定義されたような)
厚みを有するソース及びドレインを生成するよう選択さ
れる。
ソース及びドレインの形成後、前記中間レベル誘電体が
従来技術に係る技法を用いてパターン描画され、ソース
、ドレイン及びゲート電極への細孔が開けられる。材料
層120.130及び140(第8図づ照)が当該基板
上にデポジットされ、前記細孔を通じて、それぞれ、ソ
ース、ドレイン、及びゲート電極に達し、アルミニウム
とシリコンの(望ましくない)相互拡散に対する障壁と
して機能する。当該層は、例えば、10(重量)パーセ
ントのチタン及び90パーセントのタングステンよりな
る混合物を有し、例えば、0.1μmの厚みを有する。
次に、例えば1μmの厚さを有するアルミニウム層が前
記中間レベル誘導体90上及び前記細孔中の障壁層12
0.130及び140上にデポジットされる。当該デポ
ジションに引続いて、当該アルミニウム層が従来技術に
係る技法を用いてパターン描画され、金属接触パッドに
その端部を有する相互接続アルミニウムランナー150
.160及び170が形成される。
nチャネルMO9ICは、通常、当該ICへのシリコン
窒化物のデポジションによって水分及び機械的ダメージ
に対する障壁を形成する段階を含む、従来技術に係る一
連の段階によって仕上げられる。
第9図から第17図には、本発明の第2の具体例に係る
、CMO8ICの作製が示されている。まず、従来技術
に係る技法を用いて、p+型シリコン基板200上にp
型シリコン層21O(第9図参照)がエピタキシャル成
長させられる。基板200のドープレベルは、例えば1
019cm−”であり、一方、エピタキシャル成長層2
10のドープレベルは、例えば1O15c11−3であ
る。加えて、エピタキシャル成長層210の厚みは、例
えば5μmである。
隣接するn型及びp型タブ領域240及び250が、エ
ピタキシャル成長層210中に、エル・シー・パリ口(
L、C,Parrl 11o)及びアール・ニス・ペイ
ン(R,S、Payne)による1984年3月13日
付の米国特許節4.435,896号に記載された、い
わゆるツインタブプロセスにより形成される。すなわち
、例えば0.1μmの厚みを有するシリコン窒化物層2
20(第10図参照)が、まず、従来技術に係るデポジ
ション技法を用いて、層210の上部表面上にデポジッ
トされる。従来技術に係るリソグラフィー及びRIE技
法が用いられて、シリコン窒化物層220の一部が除去
され、n型タブ領域240となるべき場所のシリコン表
面が露出させられる。リンイオン及びヒ素イオン等のド
ナーイオンが層210の露出させられたシリコン表面に
注入され(残存しているシリコン窒化物層は、層210
の未露出部分のシリコンにドーパントが注入されること
を防ぐための遮蔽として機能する)、リンイオン及びヒ
素イオンの双方の注入量及びエネルギーは、それぞれ2
 X 1012cm−2及び100keVである。その
後、当該シリコン基板は水蒸気含有雰囲気で例えば95
0℃で140分間加熱されて、前記n型タブ領域の表面
上に約ロー、4μmの厚みを有する2酸化シリコン層2
30(第1O図参照)が形成される。
次に、シリコン窒化物層220は、加熱されたH3PO
4等の湿性エツチング剤によってエツチングされて除去
され、ホウ素等のアクセプターイオンがn型タブ領域2
50となるべく露出させられたシリコン表面に注入され
る。(2酸化シリコン層230はn型タブにイオン注入
がなされるのを防止する遮蔽として機能する。)ホウ素
イオンが用いられる場合には、注入量及び対応するエネ
ルギーは、それぞれ2 X 1012c11−2及び5
0k e Vである。
当該シリコン基板は窒素(N2)雰囲気で、例えば11
50℃で120分間加熱されて、ホウ素及びリンイオン
の双方が層210中に約2μmの深さまで拡散させられ
、これによってn型タブ領域240及びn型タブ領域2
50の双方が形成される(第11図参照)。
n型タブ領域240を覆っている2酸化シリコン層23
0は、N20:HF(7:1)等の湿性エツチング剤に
よってエツチングされて除去される。
比較的厚い、例えば0.4μmの厚みを有する2酸化シ
リコン層260(第12哩参照)が、(この時点では完
全に露出させられた)エピタキシャル成長層210の上
部表面上に形成され、当該層260は0MO3ICのお
けるFOXとして機能する。このことは、当該シリコン
基板を高圧水蒸気を含有する雰囲気、すなわち約25気
圧を示す水蒸気含有雰囲気で例えば850℃で25分間
加熱することによって実現される。
次に前記比較的厚い2酸化シリコン層260が従技術に
係る技法を用いてパターン描画され、nチャネルMOS
FETが形成さるべきn型タブ領域250の表面上のG
ASAD領域270(第12図参照)、及びnチャネル
MOSFETが形成さるべきn型タブ領域240の表面
上のGASAD領域400が露出させられる。続いて当
該シリコン基板が、例えば900℃で27分間加熱され
て、GASAD領域270の表面上に2酸化シリコン層
280が、GASAD領域400の表面上に2酸化シリ
コン層410がそれぞれ形成される。当該層280及び
410は、約0.0125μmの厚みを有し、それぞれ
nチャネル及びnチャネルのMOSFETのGOXとし
て機能する。
nチャネル及びnチャネルMOSFETのゲート電極は
、まず、例えば0.3μmの厚みを有するポリシリコン
層をGASAD領域270及び400、及びFOX28
0上へデポジットすることによって形成される。フォト
レジストが当該基板上にデポジットされてパターン描画
され、前記n型タブ領域240に対応する基板表面が露
出される。ホウ素イオン等のアクセプターイオンが当該
n型タブ領域を覆っているポリシリコンに注入され、前
記フォトレジストがn型タブ領域250を覆っているポ
リシリコンにイオン注入がなされるのを防止する。
ここでの注入量及び注入エネルギーは、それぞれI X
 1015cm’及び30keVである。当該7tトレ
ジストが除去され、第2のフォトレジストが当該基板上
にデポジットされてパターン描画され、n型タブ領域2
50に対応する基板表面が露出される。
ヒ素イオン等のドナーイオンが当該p型タブ領域を覆っ
ているポリシリコンに注入され、前記第2のレジストは
前記n型タブ領域を覆っているポリシリコンにこの段階
でイオン注入がなされることを防止している。ドナーイ
オンの注入量及び注入エネルギーは、それぞれ1×10
15cffl−2及び30keVである。前記第2のレ
ジストが除去され、当該基板は窒素(N2)雰囲気で、
例えば900 ”Cで30分間加熱される。その結果、
注入されたドーパントはポリシリコン中に拡散し、n型
タブ領域240を覆っているポリシリコンはp型缶導性
を示すようになり、n型タブ領域250を覆っているポ
シリコンはn型伝導性を示すようになる。
デポジットされ、イオン注入がなされたポリシリコン(
及びその下部の比較的薄い2酸化シリコン層280及び
410)は、前述のようにパターン描画され、それぞれ
GASAD領域270及び400を覆っているポリシリ
コン構造290及び420 1W13図参照)が形成さ
れる。以前の場合と同様に、これらのポリシリコン構造
の側壁は、既に議論なさ・れたように、ある条件を充足
させなければならない。さらに既に記述されたように、
2酸化シリコン側壁スペーサ295及び425がそれぞ
れポリシリコン構造290及び420に形成される。
シリコンと反応して金属珪化物を形成できるコバルト等
の金属層が、ポリシリコン構造290及び420上、側
壁スペーサ295及び425上、(ソース及びドレイン
が形成さるべき)GASAD領域の露出させられた表面
及びF OX 260の上部及び側壁表面上にデポジッ
トされる。デポジットされたコバルト層の厚みは、例え
ば0.02μmである。デポジションの後、コバルトに
覆われた基板は、H2雰囲気等の還元雰囲気で例えば4
50℃で90分間加熱される。コバルトがシリコンと接
触するところ、すなわち、前記ポリシリコン構造の上部
表面及びGASAD領域の露出させた表面、においては
、当該加熱処理により、CoS iが生成される。他の
領域においてはコバルトは未反応のままである。未反応
のコバルト(Costを除く)はHPO:CHC0OH
:HNOs:H2O(IS:2 :l  : 1)等の
選択湿性エツチング剤を用いて除去される。次に、当該
基板は、アルゴン(Ar)雰囲気等の不活性気体雰囲気
で、例えば700℃で30分間加熱される。当該第2加
熱手続きにより、CoSiが、さらにその下部のシリコ
ンと反応して、約0.07μmの厚みを有する2珪化コ
バルト(Co S l 2 )が形成される。このよう
にしてCo S l 2含有領域300及び310(第
14図)照)が各々のポリシリコン構造290をはさみ
、当該構造に対する垂直方向のアラインメントがとれた
状態で対峙するように、及びCoSi2含有領域320
が各々のポリシリコン構造290の上部にそれぞれ形成
される。同様にCo S i 2含有領域430及び4
40が、各々のポリシリコン構造420をはさみ、当該
構造に対する垂直方向のアラインメントがとれた状態で
、及びco S L 2含有領域450が各々のポリシ
リコン構造420の上部に、それぞれ形成される。
n型タブ領域240にpチャネルMO3FETのp+型
ソース及びドレインを形成するために、例えば1μmの
厚みを有するフォトレジストが当該基板上にデポジット
されてさらにパターン描画され、当該n型タブ領域の表
面が露出される。次にアクセプターイオンがCoSi2
含有領域430及び440に注入される。(前記レジス
トは当該注入からn型タブ領域250を遮蔽する働きを
する。)前述の場合と同様に、注入量は既に議論した3
つの条件を充足させるように選択され、イオンエネルギ
ーは、実質的に全てのイオンが領域430及び440に
注入されるように選択される。この意味では、アクセプ
ターイオンが例えばホウ素の場合には、よく用いられる
注入量は8X1015CI!−2であり、対応する注入
エネルギーはlok e Vである。
前記デポジットされ、パターン描画されたフォトレジス
トは除去され、他の、やはり約1μmの厚みを有するフ
ォトレジストが当該基板上にデポジットされてパターン
描画され、この段階ではn型タブ領域250の表面が露
出される。次にドナーイオンがCo S l 2含有領
域300及び310に注入される。(新たにデポジット
されたレジストは、当該第2注入からn型タブ領域24
0を遮蔽する働きをする。)この場合も、注入量及びイ
オンエネルギーは、既に議論した条件及び要求を充足さ
せるように選択される。この意味では、ドナーイオンか
例えばヒ素イオンである場合には、よく用いられる注入
量は5 X to15cl’で、対応する注入エネルギ
ーは80keV以下である。また、ドナーイオンがリン
イオンである場合には、よく用いられれる注入量は5×
10150IQ−2で、対応する注入エネルギーは30
keV以下である。
イオン注入の後、例えば0.2μm厚のアンドープTE
O3及び0,8μm厚のリンをドープしたTE01より
なる中間レベル誘導体600(第15図り照)が当該基
板上にデポジットされる。前述の場合と同様に、当該基
板を加熱することにより、中間レベル誘導体600は流
動させられ、注入されたドーパントはCoS i、、含
K 6/j域からその下部のシリコンへ拡散して、Co
Si2に覆われたn+型ソース及びドレイン330及び
340(第16図参照)及びCoSi2に覆われたp+
型ソース460及びドレイン470が形成される。この
際、約0,2μm以下の厚みを有するソース及びドレイ
ンを実現するためにには、800℃で120分間、当該
基板を加熱することが望ましい。
CMOSICの作製に関連して、注入したドーパントを
金属珪化物領域から当該基板に拡散させるのに用いられ
る加熱温度を選択する際にさらに充足させなければなら
ない条件がある事に留意しなければならない。すなわち
、例えば従来技術に係る電気炉加熱を用いる場合、90
0℃以上の温度では、p型ポリシリコン構造420中の
p型ドーパントが(通常p型ポリシリコン構造420に
接続されている)n型ポリシリコン構造290中に拡散
する、すなわち逆ドープされる傾向があることが見出さ
れる。この種の逆ドープは、pチャネルMO5F E 
T’中のスレッショルド電圧の好ましくないシフトを誘
起するので望ましくない。よって、本発明の第2の具体
例に従って、CMOSICを作製するために用いられる
電気炉加熱においては、加熱温度は900℃未満、望む
らくは約850℃以下が用いられる。
ソース及びドレインの形成後、中間レベル誘電体はパタ
ーン描画されて、ソース、ドレイン及びゲート電極への
細孔が形成される。アルミニウム及びシリコンの相互拡
散に対する障壁層350.360及び370(第17図
参照)、及び480.490及び500が前記細孔中に
デポジットされる。ソース、ドレイン、及びゲート電極
から金属接触パッドへと延びている相互接続アルミニウ
ムランナー375.385.395及び505.515
及び525(第17図参照)が前述の場合と同様に形成
される。 CMOSICは、当該ICへシリコン窒化物
層をデポジットして水分及び機械的ダメージに対する障
壁を形成する段階を含む、一連の従来技術に係る段階に
よって完成される。
尚、本明細書において、ソース、ドレインという用語は
可逆的に用いることができる。
【図面の簡単な説明】
第1図から第8図は、本発明に係るデバイス作製技法の
第1の具体例に含まれる段階を示した図;及び 第9図から第17図は、本発明に係るデバイス作製技法
の第2の具体例に示される段階を示した図である。 IO・・・シリコン基板 20・・・FOX (2酸化シリコン層)30・・・G
ASAD領域 40・・・GOX (2酸化シリコン層)50・・・ポ
リシリコン層 55・・・側壁スペーサ 60・・・TiSi2含有領域 70・・・TiSi2含有領域 80TiSi2含有領域 90・・・中間レベル誘電体 10(1・・・ソース     110・・・ドレイン
120・・・障壁層     130・・・障壁層14
0・・・障壁層 150・・・相互接続アルミニウムランナー180・・
・相互接続アルミニウムランナー170・・・相互接続
アルミニウムランナー200・・・p+型シリコン基板 210・・・p型シリコン層 220・・・シリコン窒化物層 230・・・2酸化シリコン層 240・・・n型タブ領域  250・・・p型タブ領
域260・・・2酸化シリコン層(FOX)270・・
・GASAD領域 280・・・2酸化シリコン層 290・・・n型ポリシリコン構造 295・・・側壁スペーサ 300・・・Co S l 2含有領域310・・・C
o S l 2含有領域320  Co S i 2含
有領域 330・・・n+型ソース  340・・・n+型ドレ
イン350・・・M!壁層     380・・・障壁
層370・・・障壁層 375・・・相互接続アルミニウムランナー385・・
・相互接続アルミニウムランナー395・・・相互接続
アルミニウムランナー400・・・GASAD領域 410・・・2酸化シリコン層 420・・・p型ポリシリコン構造 FIG、  7 425・・・側壁スペーサ 430・・・CoSi2含有領域 440−Co S i 2含f=i領域450  Co
 S l 2含有領域 460  ・・・p+型ソース 470  ・・・p+型ドレイン 480・・・障壁層     390・・・障壁層50
口・・・障壁層 505・・・相互接続アルミニウムランナー515・・
・相互接続アルミニウムランナー525・・・相互接続
アルミニウムランナー600・・・中間レベル誘電体 出 願 人:アメリカン テレフォン アンド FIG。 FIG。3 FIo、  9 AsAD FTG。 FTG。 FIG。

Claims (26)

    【特許請求の範囲】
  1. (1)基板上に、その下層の半導体材料を含有する、金
    属含有材料領域を形成する段階; 少なくとも一種類のドーパントを前記材料領域に注入す
    る段階; 前記下層の半導体材料がp型伝導性を示す場合には前記
    ドーパントはドナーであり、前記下層の半導体材料がn
    型伝導性を示す場合には前記ドーパントはアクセプター
    である; 前記ドーパントを前記材料領域から前記基板中へ拡散さ
    せて、前記基板内にドーパント含有領域を形成するため
    に、前記基板を単一あるいは複数の温度で対応する単一
    あるいは複数の時間期間加熱する段階;及び、 前記デバイスの作製を完了する段階よりなる半導体デバ
    イス作製方法において、 前記材料領域が0.2μm未満の平均厚tを有し、前記
    加熱時間及び対応した加熱温度が、前記材料領域の頂部
    から測定した前記ドーパント含有領域の厚みが約0.2
    μm以下であるように選択され、及び、前記材料領域に
    注入された単位面積当たりのドーパント量Iが、Iのt
    に対する比が、前記加熱の際に用いられる温度における
    前記ドーパントの前記材料領域への固体溶解度の約1/
    2以上であるように、選択されていることを特徴とする
    半導体デバイス作成法。
  2. (2)前記金属含有材料領域が、金属元素を含有するこ
    とを特徴とする請求項1に記載の半導体デバイス作成法
  3. (3)前記金属元素が、タングステンを含むことを特徴
    とする請求項2に記載の半導体デバイス作成法。
  4. (4)前記金属含有材料領域が、金属含有化合物を含む
    ことを特徴とする請求項1に記載の半導体デバイス作成
    法。
  5. (5)前記金属含有化合物が、金属珪化物を含むことを
    特徴とする請求項4に記載の半導体デバイス作成法。
  6. (6)前記金属珪化物が、珪化コバルトを含むことを特
    徴とする請求項5に記載の半導体デバイス作成法。
  7. (7)前記金属珪化物が、珪化チタンを含むことを特徴
    とする請求項5に記載の半導体デバイス作成法。
  8. (8)前記金属珪化物が、珪化タングステンを含むこと
    を特徴とする請求項5に記載の半導体デバイス作成法。
  9. (9)前記金属珪化物が、珪化モリブデンを含むことを
    特徴とする請求項5に記載の半導体デバイス作成法。
  10. (10)前記金属珪化物が、珪化タンタルを含むことを
    特徴とする請求項5に記載の半導体デバイス作成法。
  11. (11)前記金属珪化物が、珪化白金を含むことを特徴
    とする請求項5に記載の半導体デバイス作成法。
  12. (12)前記半導体材料がシリコンを含むことを特徴と
    する請求項1に記載の半導体デバイス作成法。
  13. (13)表面、半導体材料、その周囲の前記半導体材料
    とは逆の伝導性を示す基板領域とを有する半導体基板; 前記基板領域は、前記表面から前記半導体基板内へ拡が
    っており、前記表面より小さい、横方向の拡がりを有す
    る;及び 前記表面及びそれに重畳している前記基板領域に接触し
    ている金属含有領域よりなる半導体デバイスにおいて、 当該デバイスが、 前記材料領域の頂部から測定した、前記基板領域の厚み
    が約0.2μm以下であり、かつ 前記材料領域の前記基板に対する特性接触抵抗が約10
    ^−^6Ωcm^2以下であることを特徴とする半導体
    デバイス。
  14. (14)前記デバイスがMOSFETを含み、前記材料
    領域および前記基板領域が前記MOSFETのソースあ
    るいはドレインを構成することを特徴とする請求項13
    に記載の半導体デバイス。
  15. (15)前記デバイスがMESFETを含み、前記材料
    領域及び前記基板領域が前記MESFETのソースある
    いはドレインを構成することを特徴とする請求項13に
    記載の半導体デバイス。
  16. (16)前記金属含有材料領域が金属元素を含むことを
    特徴とする請求項13に記載の半導体デバイス。
  17. (17)前記金属元素がタングステンを含むことを特徴
    とする請求項16に記載の半導体デバイス。
  18. (18)前記金属含有材料領域が金属含有化合物を含む
    ことを特徴とする請求項13に記載の半導体デバイス。
  19. (19)前記金属含有化合物が金属珪化物であることを
    特徴とする請求項18に記載の半導体デバイス。
  20. (20)前記金属珪化物が珪化コバルトであることを特
    徴とする請求項19に記載の半導体デバイス。
  21. (21)前記金属珪化物が珪化チタンであることを特徴
    とする請求項19に記載の半導体デバイス。
  22. (22)前記金属珪化物が珪化タングステンであること
    を特徴とする請求項19に記載の半導体デバイス。
  23. (23)前記金属珪化物が珪化モリブデンであることを
    特徴とする請求項19に記載の半導体デバイス。
  24. (24)前記金属珪化物が珪化タンタルであることを特
    徴とする請求項19に記載の半導体デバイス。
  25. (25)前記金属珪化物が珪化白金であることを特徴と
    する請求項19に記載の半導体デバイス。
  26. (26)前記半導体材料がシリコンを含むことを特徴と
    する請求項13に記載の半導体デバイス。
JP63306210A 1987-12-04 1988-12-05 半導体デバイスの作成方法 Expired - Lifetime JP2769169B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US128742 1987-12-04
US07/128,742 US4914500A (en) 1987-12-04 1987-12-04 Method for fabricating semiconductor devices which include sources and drains having metal-containing material regions, and the resulting devices

Publications (2)

Publication Number Publication Date
JPH021944A true JPH021944A (ja) 1990-01-08
JP2769169B2 JP2769169B2 (ja) 1998-06-25

Family

ID=22436758

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63306210A Expired - Lifetime JP2769169B2 (ja) 1987-12-04 1988-12-05 半導体デバイスの作成方法

Country Status (5)

Country Link
US (1) US4914500A (ja)
EP (1) EP0319213A3 (ja)
JP (1) JP2769169B2 (ja)
KR (1) KR920010131B1 (ja)
CA (1) CA1289269C (ja)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5570119A (en) * 1988-07-26 1996-10-29 Canon Kabushiki Kaisha Multilayer device having integral functional element for use with an ink jet recording apparatus, and recording apparatus
JPH06105726B2 (ja) * 1989-10-13 1994-12-21 三菱電機株式会社 半導体集積回路装置
JP2662446B2 (ja) * 1989-12-11 1997-10-15 キヤノン株式会社 記録ヘッド及び記録ヘッド用素子基板
DK170189B1 (da) * 1990-05-30 1995-06-06 Yakov Safir Fremgangsmåde til fremstilling af halvlederkomponenter, samt solcelle fremstillet deraf
US5403760A (en) * 1990-10-16 1995-04-04 Texas Instruments Incorporated Method of making a HgCdTe thin film transistor
KR950013785B1 (ko) * 1991-01-21 1995-11-16 미쓰비시 뎅끼 가부시끼가이샤 Mos형 전계효과 트랜지스터를 포함하는 반도체장치 및 그 제조방법
US5086017A (en) * 1991-03-21 1992-02-04 Industrial Technology Research Institute Self aligned silicide process for gate/runner without extra masking
US5268317A (en) * 1991-11-12 1993-12-07 Siemens Aktiengesellschaft Method of forming shallow junctions in field effect transistors
JP3277533B2 (ja) * 1992-01-08 2002-04-22 ソニー株式会社 半導体装置の製造方法
JP3199847B2 (ja) * 1992-07-09 2001-08-20 株式会社東芝 半導体装置およびその製造方法
US5316969A (en) * 1992-12-21 1994-05-31 Board Of Trustees Of The Leland Stanford Junior University Method of shallow junction formation in semiconductor devices using gas immersion laser doping
JP3514500B2 (ja) * 1994-01-28 2004-03-31 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US5439831A (en) * 1994-03-09 1995-08-08 Siemens Aktiengesellschaft Low junction leakage MOSFETs
US5536684A (en) * 1994-06-30 1996-07-16 Intel Corporation Process for formation of epitaxial cobalt silicide and shallow junction of silicon
JPH08148561A (ja) * 1994-11-16 1996-06-07 Mitsubishi Electric Corp 半導体装置とその製造方法
JPH08264660A (ja) * 1995-03-24 1996-10-11 Nec Corp 半導体装置の製造方法
US5646435A (en) * 1995-04-04 1997-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating CMOS field effect transistors having sub-quarter micrometer channel lengths with improved short channel effect characteristics
US6969671B2 (en) * 1995-11-14 2005-11-29 Renesas Technology Corporation Semiconductor integrated device and method of fabrication thereof
JP3443219B2 (ja) 1995-11-14 2003-09-02 株式会社日立製作所 半導体集積回路装置およびその製造方法
US6303446B1 (en) * 1996-01-29 2001-10-16 The Regents Of The University Of California Method of making self-aligned lightly-doped-drain structure for MOS transistors
SE9601174D0 (sv) * 1996-03-27 1996-03-27 Abb Research Ltd A method for producing a semiconductor device having a semiconductor layer of SiC and such a device
EP0813234A3 (en) * 1996-06-12 1999-05-26 Texas Instruments Incorporated Method of manufacturing a MOSFET
JP4142753B2 (ja) * 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
US6093967A (en) * 1997-12-17 2000-07-25 Advanced Micro Devices, Inc. Self-aligned silicide contacts formed from deposited silicon
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
US6140230A (en) 1998-02-19 2000-10-31 Micron Technology, Inc. Methods of forming metal nitride and silicide structures
WO2000017918A1 (en) * 1998-09-21 2000-03-30 The Penn State Research Foundation Metal-contact induced crystallization in semiconductor devices
US6268285B1 (en) * 1999-01-04 2001-07-31 Advanced Micro Devices, Inc. Method of removing plasma etch damage to pre-silicidized surfaces by wet silicon etch
JP3403115B2 (ja) * 1999-04-02 2003-05-06 シャープ株式会社 半導体装置の製造方法
KR100341182B1 (ko) * 1999-11-30 2002-06-20 윤종용 반도체소자의 모스 트랜지스터 형성방법
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6846729B2 (en) * 2001-10-01 2005-01-25 International Rectifier Corporation Process for counter doping N-type silicon in Schottky device Ti silicide barrier
JP2004055803A (ja) * 2002-07-19 2004-02-19 Renesas Technology Corp 半導体装置
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US7084423B2 (en) 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US7625603B2 (en) * 2003-11-14 2009-12-01 Robert Bosch Gmbh Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
US8280719B2 (en) * 2005-05-05 2012-10-02 Ramp, Inc. Methods and systems relating to information extraction
US7288828B2 (en) * 2005-10-05 2007-10-30 United Microelectronics Corp. Metal oxide semiconductor transistor device
JP4935111B2 (ja) * 2006-02-22 2012-05-23 富士通株式会社 絶縁膜形成用組成物、半導体装置用絶縁膜、その製造方法および半導体装置
US8258057B2 (en) * 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
WO2013077954A1 (en) 2011-11-23 2013-05-30 Acorn Technologies, Inc. Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers
KR20160058499A (ko) 2014-11-17 2016-05-25 삼성전자주식회사 반도체 소자, 및 그 반도체 소자의 제조방법과 제조장치
US9620611B1 (en) 2016-06-17 2017-04-11 Acorn Technology, Inc. MIS contact structure with metal oxide conductor
US10170627B2 (en) 2016-11-18 2019-01-01 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5846633A (ja) * 1981-08-27 1983-03-18 ウエスターン エレクトリック カムパニー,インコーポレーテッド 集積回路の製造方法
JPS59151422A (ja) * 1983-02-18 1984-08-29 Nec Corp 浅い接合を有する半導体装置の製造方法
JPS60198814A (ja) * 1984-03-23 1985-10-08 Nec Corp 半導体装置の製造方法
JPS61248476A (ja) * 1985-04-26 1986-11-05 Hitachi Ltd 半導体装置の製造方法
JPS6239051A (ja) * 1985-08-14 1987-02-20 Toshiba Corp 半導体装置の製造方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910006249B1 (ko) * 1983-04-01 1991-08-17 가부시기가이샤 히다찌세이사꾸쇼 반도체 장치
US4697198A (en) * 1984-08-22 1987-09-29 Hitachi, Ltd. MOSFET which reduces the short-channel effect
US4689869A (en) * 1986-04-07 1987-09-01 International Business Machines Corporation Fabrication of insulated gate gallium arsenide FET with self-aligned source/drain and submicron channel length

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5846633A (ja) * 1981-08-27 1983-03-18 ウエスターン エレクトリック カムパニー,インコーポレーテッド 集積回路の製造方法
JPS59151422A (ja) * 1983-02-18 1984-08-29 Nec Corp 浅い接合を有する半導体装置の製造方法
JPS60198814A (ja) * 1984-03-23 1985-10-08 Nec Corp 半導体装置の製造方法
JPS61248476A (ja) * 1985-04-26 1986-11-05 Hitachi Ltd 半導体装置の製造方法
JPS6239051A (ja) * 1985-08-14 1987-02-20 Toshiba Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
KR890011074A (ko) 1989-08-12
EP0319213A3 (en) 1989-12-06
US4914500A (en) 1990-04-03
EP0319213A2 (en) 1989-06-07
KR920010131B1 (ko) 1992-11-16
CA1289269C (en) 1991-09-17
JP2769169B2 (ja) 1998-06-25

Similar Documents

Publication Publication Date Title
JPH021944A (ja) 半導体デバイス作成法及び半導体デバイス
US5963803A (en) Method of making N-channel and P-channel IGFETs with different gate thicknesses and spacer widths
KR0135163B1 (ko) 얕은 접합의 소오스/드레인영역과 실리사이드를 갖는 모스트랜지스터의 제조방법
US6218710B1 (en) Method to ensure isolation between source-drain and gate electrode using self aligned silicidation
US6518136B2 (en) Sacrificial polysilicon sidewall process and rapid thermal spike annealing for advance CMOS fabrication
US5605854A (en) Integrated Ti-W polycide for deep submicron processing
US5190893A (en) Process for fabricating a local interconnect structure in a semiconductor device
US6096591A (en) Method of making an IGFET and a protected resistor with reduced processing steps
US6136699A (en) Method of manufacturing semiconductor device using phase transition
US5545574A (en) Process for forming a semiconductor device having a metal-semiconductor compound
US4471524A (en) Method for manufacturing an insulated gate field effect transistor device
US6784506B2 (en) Silicide process using high K-dielectrics
KR100187729B1 (ko) 균일한 두께를 갖는 고융점 금속 실리사이드 막의 형성 공정
JP3190858B2 (ja) 半導体装置およびその製造方法
JP3129867B2 (ja) 半導体装置の製造方法
US7851297B2 (en) Dual workfunction semiconductor device
JPH07263690A (ja) サリサイド構造を有する半導体装置とその製造方法
KR100267398B1 (ko) 실리사이드 형성 방법 및 이를 이용한 반도체소자 제조 방법
JP3287621B2 (ja) 半導体装置の製造方法
KR100604496B1 (ko) 반도체 소자의 제조방법
TW447132B (en) Method to fabricate MOSFET
KR100256528B1 (ko) 반도체 장치 제조방법
JPH0831949A (ja) デュアルゲート構造cmos半導体装置とその製造方法
KR100898257B1 (ko) 반도체 소자의 제조방법
JP2000021996A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080410

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090410

Year of fee payment: 11

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090410

Year of fee payment: 11