JP5583344B2 - 炭素クラスターの注入により半導体デバイスを製造するためのシステムおよび方法 - Google Patents

炭素クラスターの注入により半導体デバイスを製造するためのシステムおよび方法 Download PDF

Info

Publication number
JP5583344B2
JP5583344B2 JP2008544499A JP2008544499A JP5583344B2 JP 5583344 B2 JP5583344 B2 JP 5583344B2 JP 2008544499 A JP2008544499 A JP 2008544499A JP 2008544499 A JP2008544499 A JP 2008544499A JP 5583344 B2 JP5583344 B2 JP 5583344B2
Authority
JP
Japan
Prior art keywords
ions
boron
phosphorus
substrate
arsenic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008544499A
Other languages
English (en)
Other versions
JP2009518869A (ja
JP2009518869A5 (ja
Inventor
クリュル,ウェイド・エイ
ホルスキー,トーマス・エヌ
Original Assignee
セムイクウィップ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by セムイクウィップ・インコーポレーテッド filed Critical セムイクウィップ・インコーポレーテッド
Publication of JP2009518869A publication Critical patent/JP2009518869A/ja
Publication of JP2009518869A5 publication Critical patent/JP2009518869A5/ja
Application granted granted Critical
Publication of JP5583344B2 publication Critical patent/JP5583344B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/42Bombardment with radiation
    • H01L21/423Bombardment with radiation with high-energy radiation
    • H01L21/425Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26566Bombardment with radiation with high-energy radiation producing ion implantation of a cluster, e.g. using a gas cluster ion beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Description

発明の詳細な説明
関連出願の相互参照
本出願は、本明細書中で参考として援用する2005年12月9日提出の米国仮特許出願第60/748797号に関連し、その利益を主張するものである。
発明の背景
1.発明の分野
本発明は、半導体製造の方法、より詳細には、集積回路中のPMOSトランジスタ構造の製造において基板にホウ素、ヒ素およびリンをドープする場合に、ストレスエンジニアリング(stress engineering)および拡散制御のために炭素含有クラスターを基板中に注入して、トランジスタの接合特性を改善するための方法に関する。
2.従来技術の説明
イオン注入プロセス
半導体デバイスの作製は、部分的に、イオン注入によりシリコン基板中にトランジスタ構造を形成することを包含する。米国特許第5497006号でSferlazzo et.al.により開示されているように、イオン注入装置は、望ましいドーパント種を含有するイオン流を作り出すイオン源、引出電極によりイオン源からイオンを引き出して加速し、該イオン流を特定のエネルギーまたは速度を有するイオンビームにしてこれに集中させるビームライン、イオンビーム中にはさまざまなイオン種が存在しうるので、関心のあるイオンを選択するイオン濾過システム、ならびに、イオンビームを衝突させるシリコン基板を格納するプロセスチャンバーを包含する;これに関し、イオンビームは特定の距離で基板中に侵入する。トランジスタ構造は、基板表面上に直接形成されたマスクにイオンビームを通すことにより作り出され、該マスクは、基板の離散した部分のみがイオンビームに暴露されるように設計されている。ドーパントイオンがシリコン基板中に侵入すると、基板の電気特性は局所的に改変され、電気キャリヤ、例えば、ホウ素またはインジウムなどのp型ドーパントによる正孔およびリンまたはヒ素などのn型ドーパントによる電子などの導入により、ソース、ドレインおよびゲート構造が作り出される。
半導体の処理における最近の発展は、機械的応力を組み込んでトランジスタの性能を向上させることである。この応力は、ケイ素以外の元素原子を格子構造に入れることにより生じる。今日までにうまくいっているプロセスは、PMOSトランジスタのソースおよびドレイン領域中へのGe原子の組込である。Ge原子をシリコン基板中に入れると、Si格子に適合した格子構造を有するSiGe合金が形成する。しかしながら、Ge原子はSi原子より大きいので、SiGe合金の格子定数はより大きくなる。これは、入れるGeの量により制御することができる。PMOSトランジスタのソースおよびドレイン領域にこの合金材料を形成することにより、その中のより大きな格子が圧縮応力下にチャネル領域を配置し、これにより正孔の移動度が高まり、PMOSトランジスタの性能が向上する。圧縮応力は電子の移動度にとって不利であり、NMOSトランジスタの性能を低下させるので、Ge原子を入れるのはPMOSトランジスタに対してのみ有効である。
従来技術のイオン源
従来、Bernas型イオン源がイオン注入装置に用いられてきた。そのようなイオン源は、ドーパントを含んだ供給ガス、例えばBF、AsHまたはPHなどをそれらの原子またはモノマー構成要素に分解して、以下のイオンを大量にもたらすことが公知である:B、AsおよびP。Bernas型イオン源はホットプラズマまたはアーク放電源として知られ、典型的にはフィラメントがむきだしの陰極(naked filament cathode)または傍熱陰極のいずれかの電子エミッタが組み込まれている。このタイプのイオン源は、磁界により限定されているプラズマを発生させる。最近、クラスター注入イオン源が装置市場に導入されている。これらのイオン源は、“クラスター”、すなわち分子の形態にあるドーパント原子の集塊、例えば、AS 、P 、またはB [式中、nおよびmは整数であり、2≦n≦18である]の形態のイオンを生じるように設計されている点で、Bernas型源と異なっている。そのようなイオン化されたクラスターは、それらのモノマー(n=1)対応物と比べ、シリコン基板のはるかに表面近くにより高いドーズ量で注入することができ、したがって、極浅p−nトランジスタ接合を例えば65nm、45nmまたは32nm世代のトランジスタデバイスに形成するのに非常に興味深い。これらのクラスター源は、イオン源に導入される供給ガスおよび蒸気の親分子を保持する。これらのうちもっとも有効なものでは電子衝撃イオン化が用いられており、緻密なプラズマは生じず、むしろ、従来のBernas源により生じるものより少なくとも100倍小さい低いイオン密度がもたらされる。例えば、クラスター注入法およびクラスターイオン源は、本明細書中で参考として援用する米国特許第6452338号および米国特許第6686595号においてHorsky et al.により記載されている。PMOSデバイスの作成においてB18 のイオン注入のための注入材料としてB1822を使用することが、米国特許出願公開第US2004/0002202 A1号として公開されている係属中の米国特許出願第10/251491号においてHorsky et al.により開示されており、これを本明細書中で参考として援用する。
背景:USJの課題
デバイス技術はすべての寸法においてスケール(scale)し続けているため、PMOSトランジスタの適切な形成に必要なp型の極浅接合すなわちUSJを形成することが次第に難しくなってきている。PMOSトランジスタのもっとも厄介な特徴はソース/ドレインエクステンションすなわちSDEであり、これは、効果的であるためにはトランジスタにおいてもっとも浅い接合でなければならないる。65nmノード技術(国際半導体技術ロードマップすなわちITRSで定義されているとおりである)の場合、PMOSのSDEは深さ約15〜25nmであることが必要であるが、45nm技術では8〜20nmのPMOSのSDEの接合深さが必要である。接合深さを制御する主要な手段は二つある:(1)ホウ素ドーパントの初期配置の制御、および(2)それに続く活性化中のそれらの移動の制御。ドーパントは、インプラントアニールおよび活性化プロセス中のような高温を経るとかならず移動する。ドーパントの初期配置は、ドーパントイオンのインプラントエネルギーにより決定される。これまで、これらの手段の両方が、技術がより小さなゲート長さにスケールするにつれてPMOSのSDEの垂直寸法をスケールするのに用いられてきた。最近の世代においてPMOSのSDEの接合深さを低減する主要な手段は、活性化段階中のアニーリング時間を短縮することによるものであり、これはドーパントの拡散を低減し、それにより、より浅い接合の形成をもたらす。インプラントエネルギーも、ドーパントの初期配置をより浅くする、すなわちシリコンのより表面近くにするために低減されてきたが、インプラントビーム電流がより低いビームエネルギーに低減され、sub−90nm形状のためのホウ素注入に必要なsub−keVのホウ素エネルギーでも実質的に低減されるので、これはインプラント手段の生産性(ウエハ処理量)を著しく低下させ、したがって接合深さを低減するための魅力的な手段ではない。
拡散制御
拡散は、注入したホウ素を活性化する必要性の当然の結果である、すなわち、注入されたウエハは、注入したホウ素がシリコン中で電気的に活性になるように高温でアニールしなければならない。ホウ素を含有するシリコンを高温に暴露すると、ホウ素は高濃度の領域からより低濃度の領域へかならず移動すなわち拡散する。このホウ素の移動は、非常に浅い接合の形成にとって問題となる。アニールの開発における主な傾向は、正味の拡散を管理するアニール時間の短縮である。最新のウエハ処理では、急速に高温(1000〜1100℃)まで上昇させ再び降温する“スパイク”アニールが組み込まれている。この技術は、拡散を低減してプロセスの解決に値する生産を提供するのに非常に効果的である。しかしながら、sub−90nmノード技術の場合、より少ないホウ素の拡散が求められる;スパイクアニーリングシステムのランプ速度(ramp rate)限界(最高150℃/sec)に達してしまっている。熱量を下げる次の段階において、この傾向は、“フラッシュアニーリング”またはレーザー熱処理のいずれかの“ミリ秒”技術を使用することになると思われる。これらの技術はともにまだ未完成であり、解決に値する生産を提供するのに重要な課題に直面する。また、熱量はこれら超高速アニーリングのアプローチにより非常に小さな値に低減するので、活性化プロセス自体が影響を受ける。例えば、より高いシート抵抗が達成され、シート抵抗すなわちRsの空間的不均一性は、スパイクアニールにより達成される場合より高くなる。
共注入
アニーリング時間の継続的短縮の代替案の一つは、ホウ素の拡散を妨害することが知られ同じ熱量においてより浅い接合をもたらす可能性がある他の不純物の導入である。例えば、Fは、従来BF 注入段階中に導入されており、ホウ素の拡散を低減することができることが周知である。したがって、同じアニーリングプロセスを用いる場合、通常、BF インプラントにより形成される接合は、Bインプラントによる相当物より浅い。しかしながら、Fはホウ素と同じ注入プロセスで、すなわち分子種BFの一部として導入されるので、BF インプラントに起因する注入されたFの深さプロファイルは、Bの拡散の低減に関し最適化されていない;このことは、接合深さが低減されるほどBF注入の魅力を低下させる。
他の代替案は、同様に拡散を妨害することが知られる炭素の導入である。例えば、E.J.Collart,S.B.Felch,H.Graoui,D.Kirkwood,B.J.Pawlak,P.P.Absil,S.Sevri,T.JanssensおよびW.Vandervorst,“Co−Implantation with Conventional Spike Anneal Solutions for 45nm Ultra−Shallow Junction Formation”,Proceedings of the Eight International Workshop:Fabrication,Characterization and Modelling of Ultra−Shallow Doping Profiles in Semiconductors,2005年6月,p.327;N.Cowern,B.Colombeau,J.Graoui,およびM.Foad,“Computaional Modeling of Co−implanted Carbon for 65nm Node USJ Formation”,同書,p.300;S.Rizk,Y.M.HaddaraおよびA.Sibaja−Hernandez,“Modeling the Suppression of Boron Diffusion in Si/SiGe Due to Carbon Incorporation”,同書,p.315;L.S.Robertson,R.Brindos,およびK.S.Jones,“The effect of impurities and activation of ion implanted boron in silicon”,Mat.Res.Soc.Symp.Vol.610,pp.B5.8.1−B5.8.6(2000年);Mark E.Law,Michelle D.Griglione,およびMisty Northridge,“Influence of Carbon on the Diffusion of Interstitials and Boron in Silicon”,同書,pp.B7.4.1−B7.4.5;E.J.H.Collart et al.,“Co−implantation with conventional spike anneal solutions for 45nm ultra−shallow junction formation”,Internal Conference on Ultra−Shallow Junctions,USJ2005年6月5〜8日,フロリダ州,米国(2005年);P.A.Stolk,H.−J.Gossmann,D.J.Eaglesham,D.J.Jacobson,H.S.Luftman,およびJ.M.Poate,“Understanding and controlling transient enhanced dopant diffusion in silicon”,Mat.Res.Soc.Symp.Proc.Vol.354,pp.307−318(1995年);M.Ueda,H.Reuther,R.Gunzel,A.F.Beloto,E.Abramof,およびL.A.Berni,“High dose nitrogen and carbon shallow implantaion in Si by plasma immersion ion implantaion”,Nuclear Instruments and Methods in Physics Research B175−177(2001年)pp.715−720;Joerg K.N.Lindner,“Ion beam synthesis of buried SiC layers in silicon:Basic physical processes”,Nuclear Instruments and Methods in Physics Research B 178(2001年)pp.44−54;J.K.N.Lindner,W.Reiber and B.Stritzker,“Mechanisms of SiC Formation in the Ion Beam Synthesis of 3C−SiC Layers in Silicon”,Materials Science Forum Vols.264−268(1998年)pp.215−218;M.Ueda et al.,“High dose nitrogen and carbon shallow implantation in Si by plasma immersion ion implantation”,Nuclear Instruments and Methods in Physics Research B 175−177(2001年)pp.715−720;Kah−Wee Ang et al.,“Thin body silicon−on−insulator N−MOSFET with silicon−carbon source/drain regions for performance enhancement”,IEDM Workshop,Washington,D.C.,2005年12月;Masahiro Deguchi,Akihisa Yoshida,およびMasatoshi Kitagawa,“B−SiC formation by low−energy ion−doping technique”,Japanese Journal of Applied Physics Vol.29,No.8,1990年8月,pp.L1493−L1496参照。これらすべてを本明細書中で参考として援用する。
ストレスエンジニアリングおよびキャリヤ移動度の向上
シリコンの処理におけるより新しい傾向の一つはストレスエンジニアリングとよばれ、これにより、格子不整合に起因する機械的応力下に活性構造を意図的に置く構造が作り出される。そのような試みの主要目的は、MOSトランジスタのチャネル領域を応力下に置いてキャリヤ移動度を高めることである。PMOSトランジスタでは圧縮応力が有利に働き、NMOSトランジスタでは引張応力が有利に働く。圧縮応力を作り出すための主要プロセスは、Geをシリコンの格子中に組み込み、SiGe合金を形成することである。Geはケイ素より大きな原子であり、Geを組み込むと格子が余儀なく膨張し、これにより隣接領域が応力下に配置されるので、Geはこの役割に有用である。この概念をもっとも一般的に使用しているのはIntel 90nm技術である。この技術において、PMOSのソース/ドレイン領域はエピタキシャル成長させたSiGe合金構造であり、該合金構造によりチャネル領域が圧縮応力中に配置され、これによりPMOSトランジスタおよび回路全体の性能が向上する。
sub−65nmのロジックおよびメモリアプリケーションに関するストレスエンジニアリング
65nm技術ノードでは、適切なトランジスタ構造を得るためにSDEの接合深さをさらに低減することが必要である。このノードで開始すると、PMOSのSDEはプロセス制御および予想生産性の両方から非常に課題の多いものになる。ホウ素インプラントのエネルギーを500eV以下のホウ素エネルギーに低減しなければならず、この場合生産性は著しく低下する。また、アニーリング要件は、高度な低熱量スパイクアニールを必要とするような要件であり、さらに新しい他のアニーリング技術を検討しなければならない。これらのプロセスは目的の達成が難しいため、他のプロセスを検討しなければならない。ストレスエンジニアリングを導入してより高性能のトランジスタを生産すると、速度および駆動電力を高めるためにゲート長さを低減する当面の必要性を軽減することができる。その概念は、活性チャネルが恒久的に応力下にあるトランジスタを生産すると、より高性能のトランジスタの形成が可能になるというものである。この進歩のメカニズムは、応力によりキャリヤ移動度が改変され、これによりトランジスタの駆動電力ひいては回路の速度が直接改善されるというものである。NMOSトランジスタの性能は引張応力に伴い改善される一方、PMOSトランジスタの性能は圧縮応力に伴い改善されるので、必要なストレスエンジニアリングは単純ではない。例えば、Intelは、PMOSチャネルを圧縮応力下に配置し、それによりPMOSの性能を改善するSiGeソース/ドレイン技術を導入し、NMOSトランジスタを引張応力中に配置してNMOSの性能を向上させる選択的窒化ケイ素上層を使用することにより、90nmノードでストレスエンジニアリングを統合している。これらのストレスエンジニアリング技術を組み合わせると、回路全体に著しい性能向上がもたらされる。識者の中には、これらのプロセスに対抗するためには、65nmノードで開始するすべての高性能製品にストレスエンジニアリングが必要になると考える者もいる。
いわゆる無拡散アニール
アニーリング時間短縮の利点を最大限にするための最新の傾向は、アニーリングプロセスの時間(熱量)を継続的に削減し、これによりホウ素の拡散を低減することである。ドーパント原子の高い活性化を達成するために、ピーク温度は高いまま(典型的には1000℃より高温)にする。最新の生産プロセスでは、温度まで昇温し、最高温度でゼロ時間の後、もとの室温まで降温することを包含する“スパイクアニール”が使用されている。典型的なランプ速度の値は100℃/s〜1000℃/sであり、昇温速度は加熱技術の選択により決定される。冷却速度は一般に、能動的冷却を採用しない限り400℃/s未満に制限される。ランプ速度を上昇させ、それにより高温における合計時間を削減する新規システムが絶え間なく開発されている。
現在開発中のこのアプローチの制限は、一般に“無拡散アニール”とよばれている。そのようなプロセスに選択肢を提供しうる開発中の技術が二つある:“フラッシュ”アニールおよび“レーザー熱アニール”。これらの技術はともに、活性化が適切(ピーク温度は典型的には1300℃)でホウ素の拡散が非常に少ないアニールプロセスを提供する可能性を有する。これらの技術は、シリコンが活性化に必要な高温を1ミリ秒以下しか経ないので、“ミリ秒アニール”ともよばれる。この代替プロセスは非常に興味深いが、そのようなプロセスに必要な技術は現在生産中のアニーリング技術とは根本的に異なる。これらの手段は新しく、大規模生産の要件をまだ満たしていない。無拡散アニールが近い将来に堅調な製造の解決策を提供するか否かは不確実である。
炭素注入(ゲッタリング注入)
炭素注入は、かねて欠陥または汚染物をゲッタリングする方法として用いられてきた。例えば、Stolk et alおよびUeda et alの上記参考文献参照。欠陥はシリコン中のBおよびPの拡散を一時的に増大させることが示されているので、格子間欠陥の捕捉は拡散を制限するための方法の候補であると考えられてきた。従来のプロセスでは、COまたはCOガス源のいずれかが従来のプラズマイオン源に用いられている。Cのビームを発生させ、注入を工業的イオン注入システムで実施することができる。COまたはCOガスを使用すると、従来のプラズマ源の有効寿命は短くなる。これは、酸化作用および該源に見いだされる絶縁体の炭素トラッキングが原因である。
炭素インプラントの従来の施用の一つは、高エネルギー(MeV)の炭素をシリコン中に深く、トランジスタ構造から離して注入することにより、金属不純物のゲッタリングを提供することである。シリコン中では、存在するあらゆる金属原子が、おもに漏れを増大させることにより活性構造の電気性能を低下させる可能性がある。活性デバイス領域から金属不純物を除去する方法は数多く研究されている。用いられているアプローチの一つは、活性デバイスから離してシリコン中に炭素を注入することである。シリコン中の炭素は不純物トラップとして働くので、炭素と相互作用する金属原子はすべて高温を経てもその位置にそのまま残る。このメカニズムはゲッタリングとよばれ、炭素インプラントはゲッタリングの選択肢の一つである。
発明の概要
簡潔に述べると、本発明は、集積回路中のPMOSトランジスタ構造の製造において基板にホウ素、ヒ素およびリンをドープする場合に、炭素クラスターを基板中に注入してトランジスタの接合特性を改善することを包含するプロセスに関する。この新規アプローチに由来するプロセスは二つある:(1)USJ形成のための拡散制御;および(2)ストレスエンジニアリングのための高ドーズ量炭素注入。USJ形成のための拡散制御を、PMOS中のソース/ドレイン構造のホウ素または浅いホウ素クラスターインプラントと併せて説明する。より詳細には、C16 のようなクラスター炭素イオンを、これに続くホウ素インプラントとほぼ同じドーズ量でソース/ドレイン領域中に注入し;その後、好ましくはB18 またはB10 のようなホウ化水素クラスターを用いて浅いホウ素インプラントを行って、ソース/ドレインエクステンションを形成する。これに続くアニーリングおよび活性化において、炭素原子による格子間欠陥のゲッタリングによりホウ素の拡散は低減する。Stolk et al.およびRobertson et alの上記参考文献では、一時的に増大したホウ素の拡散はシリコン格子中の格子間欠陥によりもたらされると主張されている。
本発明に従った方法には、経済的価値の大きな第2の利点がある:すなわち、炭素クラスターインプラントとそれに続くホウ素クラスターインプラントによるシリコンの非晶質化である。とりわけ、大きなホウ素クラスターを注入すると結晶質シリコンの格子が非晶質化して、イオンチャネリングが大幅に低下することが、当分野で公知である。チャネリングはドーパントの接合深さを著しく増大させ、それによりUSJ形成が難しくなることが知られている。チャネリングは、典型的には、最初に例えばGe原子により損傷インプラント(damage implant)を実施してシリコンを非晶質化した後、ホウ素注入によりPMOSのソース/ドレインエクステンションを形成することにより制御する。Geインプラントは実施費用が高いほか、その範囲の末端(end-of-range)に欠陥を作り出して、USJトランジスタにおける漏れ電流をある特定の場合には甚だしく増大させることも示されている。したがって、従来のホウ素インプラントをB18 のようなホウ素クラスターにより置き換えると、多くの場合Geインプラントの必要性がなくなり、漏れと関連する欠陥構造が発生しなくなる。このことは、プロセスの流れから高価なインプラントが取り除かれ、収量が増大し、集積回路における漏れ電流が減少するので、経済的価値が大きい。残念ながら、非晶質化の臨界ドーズ量に達する前にホウ素クラスターインプラントの一部分は実施されてしまっており、チャネリングテールの一因となっているので、ホウ素クラスターインプラントによりチャネリングを完全に排除することはできない。例えば、B18 イオンを注入してソース/ドレインエクステンションを形成することによるチャネリングの80%削減が示されているが、もっとも浅い接合を達成するためには損傷インプラントがなお必要である。しかしながら、本発明に従ったプロセスに従って、炭素クラスターを注入した後ホウ素クラスターまたはモノマーホウ素のいずれかのインプラントを行う場合、シリコンは炭素インプラントにより十分に非晶質化しているのでチャネリングを完全に排除することができる。
最後に、熱的に推進されるホウ素拡散を適切な炭素の共注入により最低限に抑えることができる場合、無拡散アニールに対する必要性を回避することができる。例えば、図3〜7は、標準的で商業的に実現可能なスパイクアニールがUSJ構造を形成するのに適している可能性があることを示唆している。このことは、次世代の実験的な(exotic)アニール技術を必要としないので、チップ作成産業にとって大きな経済的利益になる。
したがって、本方法の利点は以下のとおりである:
・PMOSのUSJ形成に先立ちシリコンが非晶質化する;
・後に続くホウ素またはホウ素クラスターインプラントにおいてチャネリングテールが排除されて、注入された状態でのプロファイルがもっとも浅くなる;
・アニーリング段階中のホウ素拡散が劇的に低減する;
・予備非晶質化インプラント、すなわち損傷インプラントが排除される;
・アニーリングプロセスにおける熱量要件が緩和されて、スパイクアニールでのUSJ形成が可能になる。
これに加えて、非常に浅い炭素インプラントが必要な場合、本発明に従ったプロセスにより炭素クラスターを用いると、モノマー炭素インプラントを実施する場合と比較して実効ドーズ率(および、したがってウエハ処理量)が上昇し、モノマーホウ素をホウ素クラスターで置き換えることにより達成される処理量と同様の上昇が提供される。
リンの拡散制御
これまでの論考は、PMOSトランジスタの形成に炭素を使用してホウ素インプラントの拡散を抑制することに焦点を当てていた。同様に、同論考は、リンをドーパント原子として用いる場合、NMOSトランジスタの形成に当てはまる。従来、NMOSのSDE構造を形成するためにはヒ素インプラントが用いられており、ヒ素はシリコン中でゆるやかな拡散を示すので、適切な構造を形成するための追加的手段は不要である。しかしながら、先端技術のSDEにヒ素を使用することを妨げうる問題がある。これらの場合、リンが実行可能な代替物になる可能性があるが、リンはシリコン中で迅速な拡散を示すので、炭素による拡散抑制が興味深いプロセスになる。炭素がリンのインプラントでの拡散制御に有用であることを示す結果の例は、A.Vanderpool,A.BudrevichおよびM.Taylor,“Control of Phosphorus Transient Enhanced Diffusion using Co−Implantation,Proceedings of the 16th International Conference on Ion Implantation Technology,2006年6月,p41である。
炭素および/またはホウ素のイオン化クラスターの使用では、例えば本明細書中で参考として援用する米国特許第6686595号に開示されているような新規のイオン源が必要になる。蒸気、好ましくは炭化水素の蒸気をイオン源に導入する。該イオン源は、分子を解離させることなくイオン化する。その後、引出システムによりイオン化炭素分子のイオンビームが引き出された後、これが従来のイオン注入装置のビームラインに運ばれて、シリコンウエハに打ち込まれる。例えば’595特許に開示されているようなSemEquipにより開発されたClusterIon(登録商標)イオン源を、ClusterBoron(登録商標)分子、例えばB1822の注入に用いることができる。
現在、同技術が大きな炭化水素供給材料で有効であることが実証されている。例えば、以下の炭化水素を場合によっては用いることができる:
・2,6ジイソプロピルナフタレン(C1620
・N−オクタデン(N-octadene)(C1838
・P−テルフェニル(C1814
・ビベンジル(C1414
・1−フェニルナフタレン(C1612
・フルオランテン(C1610
フルオランテンは、本開示に包含されるデータの多くを得るのに用いた材料である。一般に、形態CnHy[式中、n≧4およびy≧0]の化学式を有するあらゆる炭化水素が、上記特徴および利点を提供する。これは、すなわち、シリコン中への炭素の実効ドーズ率が上昇することと、さまざまな程度の非晶質化が提供されることであり、すべての場合においてモノマー炭素インプラントより有利である。
1610は、上記係属中の米国特許出願第10/251491号に開示されているように、新規イオン源に適切でB1822の気化温度と同様である100℃の温度で気化する。非常に低いエネルギー(炭素原子1個あたり約1keV)において、0.5mAのビーム電流がウエハ上で8mAの炭素の等価物を可能にした。ClusterIon(登録商標)源を用いると、>1mAのビーム電流が容易に実現される。
モノマー炭素注入対クラスター炭素注入
1970年代中頃に開始した半導体製造のための商業的イオン注入システムの導入以来、炭素注入は実質的に利用可能であった。利用可能な注入技術では、用いる供給材料にかかわらず、1回に原子1個のインプラントが実施される。これは、従来のイオン源技術では材料をイオン化するのに強いプラズマが用いられ、該プラズマが分子をその構成原子に分解するため起こる。ほとんどの用途でこれは当てはまる。従来技術に伴う問題は、浅いインプラントを提供するためにイオンのエネルギーが低くなければならない場合(例えば1または2keV)、単一原子による注入は非常に非効率的になるという点である。従来のシステムは低い引出エネルギーにおいて高い電流を生じることができないので、注入プロセスは非常に生産性が低い。これは低エネルギーのホウ素注入でまさしく問題であり、物理的現象は低エネルギーの炭素インプラントに関しても同様である。本明細書中に記載する発明により、低エネルギーでの炭素クラスターの注入が非常に高い生産性で可能になる。個々の原子の代わりに炭素含有分子を注入することにより、低エネルギー注入の物理的現象は著しく改変される。分子は各炭素原子についてプロセスエネルギーを必要とし、これにより引出システムが効率的に機能して高いビーム電流を作り出すことが可能になるので、引出エネルギーははるかに高い。
クラスターでのプラズマドーピング
半導体のドーピングのためのビームラインイオン注入に対する他のアプローチは、いわゆる“プラズマイマージョン”である。この技術は、半導体産業においていくつかの他の名称、例えばPLAD(プラズマドーピング(PLAsma Doping))、PPLAD(パルス化プラズマドーピング(Pulsed PLAsma Doping))、およびPI(プラズマイマージョンイオン注入(Plasma Immersion Ion Implantation))で知られている。プラズマドーピングは当分野で周知である。例えば、A.RenauおよびJ.T.Scheuer,“Comparison of Plasma Doping and Beamline Technologies for Low energy Ion Implantaion”,IEEE Proceedings of the 2002 14th International Conference on Ion Implantation Technology,Taos,NM,USA,2002年9月22〜27日,pp.151−156;R.B.Liebert,S.R.Walther,S.B.Felch,Z.Fang,B.Pedersen,D.Hacker,“Plasma Doping System for 200mm and 300mm Wafers”,Proceedings,13th Int.Conf.Ion Implant. Tech.,IEEE,2000年,pp.472−475,ならびに米国特許第5354381号;第5558718号;および第6207005号参照。これらすべてを本明細書中で参考として援用する。
これらの技術を用いるドーピングでは、排気した後、三フッ化ホウ素、ジボラン、アルシンまたはホスフィンなど一般に好まれるドーパントを含有するガスを再充填した大きな真空容器中で、プラズマを打ち込むことが必要である。自明のこととして、プラズマはその中に陽イオン、陰イオンおよび電子を有する。その後、標的に負のバイアスをかけ、これによりプラズマ中の陽イオンを標的まで加速させる。イオンのエネルギーは式U=QV[式中、Uはイオンの運動エネルギー、Qはイオンの電荷、Vはウエハのバイアスである]により表される。この技術には質量分析がない。プラズマ中のすべての陽イオンが加速され、ウエハ中に注入される。したがって、極めて清浄なプラズマを発生させなければならない。このドーピング技術では、B1822のようなホウ素クラスターまたは形態AsおよびP(式中、nは整数であり、x≧0である)のヒ素もしくはリンのクラスターの蒸気を容器内に導入し、プラズマ点火した後、ウエハに負のバイアスをかけることができる。バイアスは、時間に対し一定であるか、経時変化するか、パルス化されていることができる。ドーパント原子と水素の比率(例えば、B1822対Bおよび例えばAs対AsHを使用)は単なる水素化物より水素化物クラスターの方が大きく、ドーズ率もクラスターを用いる場合はるかに高くなる可能性があるので、これらのクラスターを用いると有利である。
プラズマドーピングは炭素クラスターインプラントにも用いることができる。より詳細には、As、BまたはPを用いる伝導性インプラントを実施する前に、形態Cの炭素クラスターを用いてプラズマドーピングシステム中のシリコンウエハをドープし予備非晶質化することに関し、類似の議論を行うことができる。プラズマドーピングシステムでは、容器内の蒸気圧、温度、バイアス電圧のバイアシングの大きさおよびデューティサイクル、ならびに標的上のイオン到達率の間の関係を知ることにより、ドーズ量をパラメーター的に制御することができる。標的上の電流を直接測定することも可能である。ビームライン注入と同様に、C16 イオンを用いると、例えばCH イオンの場合に比べ、ドーズ率が16倍上昇し、必要な加速電圧が18倍高くなる。
注入深さの重要性
接合要件が浅くなるにつれ、ホウ素インプラントエネルギーを小さくしなければならない。同様に、効果的に拡散を低減するのに要する炭素インプラントエネルギーも小さくしなければならない。炭素のクラスターまたは分子を使用すると、先端技術に必要な非常に低いエネルギーでの非常に高い生産性が可能になる。炭素インプラントプロセスをより低いエネルギーに至らせる他の重要な懸念は、漏れ電流の制御である。接合領域における高濃度の炭素は、少ない漏れでの動作を危うくすることが知られている。ほとんどの活性接合はソース/ドレインエクステンションの接合より深いので、より高いエネルギーの炭素は、まさにもっとも漏れリスクの高い領域に配置される。この問題を処理するアプローチの一つは、炭素クラスターをできるだけ浅く維持し、ドーズ量を最小限に抑えることである。このようにして、接合漏れ電流のあらゆる増大を最低限に抑える。
予備非晶質化
拡散制御にクラスターでの炭素注入を用いることを後押しするさらに他の利点は、予備非晶質化である。ホウ素インプラントのプロファイルをできるだけ浅くするためには、イオンチャネリングを回避しなければならない。トランジスタ形成の幾何学はインプラントを法線入射で実施することを必要とするので、傾斜インプラントを用いてイオンチャネリングを防ぐことはできない。従来のアプローチは、ホウ素インプラントを実施する前に他のインプラントを用いてシリコンの格子構造を破壊するものであり、これは一般に“PAI”すなわち予備非晶質化インプラントとよばれる。インプラントは一般にGe+イオンを用いて実施される。これは、それらが高い質量を有し(そして、それにより比較的低いドーズ量で非晶質シリコン層を作り出す)、Geはデバイスの電気的性質に大きな影響を及ぼすことなくシリコン中に組み込まれるためである。しかしながら、Geインプラントは難しく高価であり、接合漏れを作り出すリスクのある他の損傷ネットワーク(damage network)を作り出す。提案した技術において、炭素クラスターインプラントは、クラスターの質量が大きいためPAIの利点を提供する。最大の効果を得るためには炭素インプラントをホウ素インプラントの前に実施しなければならず、その結果クラスターの使用はPAIの機能も果たす。注入したホウ素の深さプロファイルの著しい低減は、本発明の重要な観点に従ったこの炭素クラスターの使用によりもたらされる。
プロセスの流れ
拡散制御においてもっとも効果的であるためには、炭素インプラントをホウ素インプラントの前に実施しなければならないことを示す情報が文献中にある。これは、炭素インプラントを、ゲートスタックの形成およびパターニングの後、ホウ素SDEインプラントの前に行うことを意味する。必要なマスキング操作はホウ素インプラントと同一なので、追加的または改変されたリソグラフィーは必要ない。実際、炭素クラスターおよびホウ素またはClusterBoronのインプラントは、ウエハをイオン注入装置から取り出すことなく連鎖的に実施することができる;これはバッチ式手段の重要な経済的利点である。
ストレスエンジニアリング
上記参考文献Ang,et alで議論されているように、シリコン中のトランジスタのソース/ドレイン領域中に組み込まれる炭素はSi材料を形成することができ、該材料は、純粋なシリコンに格子不整合をもたらし、したがって、トランジスタチャネルに機械的に応力を加え、キャリヤ移動度を増大させることが示されている。Si材料はシリコンより小さな格子を有するので、この材料は、NMOSトランジスタの移動度を改善するのに有用な引張応力をチャネルに作り出す。したがって、本発明の重要な観点に従って、NMOSトランジスタのソース/ドレイン領域中でシリコンをSiに選択的に転化する手段として、例えばC1610 での炭素クラスター注入を用いて高ドーズ量インパクトを実施する。所定のイオン電流においてC1610のようなクラスターを使用すると炭素のドーズ量が16倍になり、高ドーズ量での極浅インプラントが可能になる。
注入によりSi材料を形成するさらなる利点は、注入装置によりもたらされる制御である。イオン注入は一般に、装置の精度および制御が他の形態の半導体処理装置の能力を大きく上回るため、半導体製造において有効なプロセスである。詳細には、提案した用途に関し、炭素濃度の綿密なプロファイルをインプラントのエネルギーおよびドーズ量の制御により詳細に管理することができる。実際、インプラント段階の手順をさまざまなドーズ量およびエネルギーと共に予見して、炭素プロファイルを任意の望ましいプロファイルの輪郭に合わせることができる。どのような詳細なプロセスがもっとも有利な結果をもたらすか明らかでないため、イオン注入により利用可能な炭素プロファイルの制御により、最終的なトランジスタの性質の詳細な最適化が可能になる。
ストレスエンジニアリングで炭素を組み込むために炭素のクラスターを用いることの他の利点は、クラスター注入の自己非晶質化(self-amorphization)の特徴に関する。適切な応力を発生させるために、包含される炭素はSiC格子構造との置換部位を占有しなければならない。置換部位における包含の程度は、炭素を組み込む手段と材料の暴露温度の両方に依存する。従来の炭素組込手段は、エピタキシャルかモノマーインプラントかに関わらず、炭素を結晶質構造に加えることを包含するが、クラスター炭素インプラントは自己非晶質化層を提供する。クラスター炭素インプラントにより形成した非晶質層は再結晶化しなければならないが、これはドーパントインプラントのアニーリングにより自動的に達成される。しかしながら、再結晶化プロセスは置換部位中への炭素の組込を促進する。そのようなプロセスは、再結晶化プロセスで周知である置換部位中へのドーパント原子の組込と同様である。
ストレスエンジニアリングを施したSiC格子をCMOSのプロセスの流れに組み込む方法
ストレスエンジニアリングを施したデバイスを作り出すために、本発明は、ホウ素またはホウ素クラスターのS/DインプラントまたはSDEインプラント)を実施する前に、P型の深いソース/ドレイン領域中へのかなり深い炭素インプラントを、例えば炭素1個あたり約10keV、1E15/cm〜5E15/cmという高ドーズ量で実施することを含む。これは、モノマー炭素インプラントまたはクラスター炭素インプラントのいずれかであることができる。好ましい態様はクラスター炭素インプラントを含む。炭素クラスターがポリシリコンゲート構造中に注入されるのを回避するために、ゲートポリ(gate poly)上面上に窒化物キャップを付着させてもよい。炭素をP型ソース/ドレイン(S/D)領域中に注入した後、低温アニールを用いると、Si格子の置換部位を炭素に占有させることができる。約600℃〜900℃のスパイクアニール、例えば5 sec RTA処理で、所望の結果が得られる可能性がある。約80kVの引出においてC 注入を用いた10keV実効Cインプラントの後、700℃、900℃および1100℃ RTAアニールを用いて、裸のSiウエハ上でわれわれが得たデータを、図10に示す。最低温度でのアニールが最良の結果、すなわち歪みの最高値をもたらした。このアニールの後、図12〜17に要点をまとめたCMOS構造を実施して、ストレスエンジニアリングを施した完成デバイスを作成することができる。窒化物キャップまたは他のマスクバリヤを炭素注入に先立ちポリゲート上に付着させた場合、バリヤを除去してからS/D構造に注入する。
あるいは、オン(on)は、図11に示すように単に炭素を注入し、S/Dインプラントが実施されるまでアニーリング段階を見送ることができる。より高いアニール温度では応力の損失がいくらかあると考えられるが、今のところ、どのようなアニール手順が最高の移動度のデバイスをもたらすかわかっていない。
詳細な説明
本発明のこれらおよび他の利点は、以下の詳述および添付図面を参照して容易に理解されるであろう。
図1は、イオン注入システムにより生じたB1822の質量スペクトルを示している。例えば上記ClusterIon(登録商標)源を用いて、20kVで引き出され、分析器の磁石により運ばれるイオンを発生させる。磁石が存在する分解開口部は、M/ΔM=15の適度な質量分解能をもたらした;ビームを分解開口部の両端間で走査し、イオン電流を分解開口部に通し、該源から約2メートル離して設置したファラデー(Faraday)により測定する。210amuにおける親ピークはB18 で構成されている;おそらく10<x<22である一連の保持されているH原子(retained H atom)が存在し、これがピークをブロードにしている。プロットのy軸はビーム電流に18を乗じたものであるので(単位電荷につき18個のホウ素原子が存在するため)、ファラデー電流は質量210において約400uAであった。ホウ素の実効インプラントエネルギーは約20kV/20(ホウ素の平均自然存在比質量(average natural abundance boron mass)は10.8amuであり、イオン質量は約210amuであるため)=クラスター中のホウ素原子1個あたり1keVである。図2は、イオン注入システムにより生じたフルオランテン、すなわちC1610の質量スペクトルを示している。親ピークC16 は211amuにあり、ファラデー電流は引出電圧17kVにおいて約500uAであった。したがって、炭素原子1個あたりの実効インプラントエネルギーは約1eVであり、炭素の実効電流は約8mAであった。図2のCおよび図1のBの質量、実効電流、および注入エネルギーがほぼ同じであることに留意されたい。図2のスペクトルは、イオン源、例えばClusterIon源の100℃に維持した気化器中に固体フルオランテンを置くことにより発生させた。該源は、イオン注入装置、例えばEaton NV−100 GSD注入装置に取り付けられていた。バッチ形式の回転盤上でウエハに注入して、図3〜7のデータを得た。
当分野で公知のように、イオン注入装置の一部を形成するイオン源は、標的基板中に注入するためのイオン化した原子または分子を製造するために用いられる。上記したもののようなイオン注入装置は、関心のあるイオン化分子を選択し、それらの分子を電界により標的へ加速する。
図3は、6kV(ホウ素1個あたり300eVの実効インプラントエネルギーをもたらす)で引き出したB18 によりシリコン中に注入したホウ素の二次イオン質量分析法(SIMS)での深さプロファイルおよび活性化プロファイルに対するC16 共注入の効果を示している。B18 のドーズ量5.6E13、すなわちホウ素の実効ドーズ量(注入されたB18とよぶ)1E15の注入された状態でのプロファイルを、Axcelis Summit高速熱アニーリングシステム(AxcelisのRapid Thermal Annealingシステムの説明については、例えばwww.axcelis.com/products/summitXT.html参照)で5秒間にわたり950℃でアニールした。アニール後のホウ素プロファイルを(B18)とよぶ。実効接合深さは、アニール中にホウ素の拡散が一時的に増大するため、約10nmから約25nmまで拡散した(接合深さの基準点として5E18cm−2のドーパント濃度を使用)。他のウエハは、炭素クラスターC16 を用いて1keV、2keV、3keV、4keVまたは5keVのいずれかの実効炭素ドーズ量の1E15ドーズ量で最初に注入し、このプロセスでアニールした。(B18+1keV C)および(B18+5keV C)に関するアニールしたホウ素のSIMSプロファイルを図3に示す。これらの接合深さははるかに浅く、炭素インプラントがホウ素拡散を順調に制限したことを示している。これらのプロファイルの形状はまた、まったく異なっている。約15nmのもっとも浅い(炭素がない場合の25nmの接合深さと比較して)アニールされた接合は(B18+1keV C)により得られたが、非常に急激で箱のような接合はプロセス(B18+5keV C)により約18nmの接合深さで得られた。
図4は、それぞれ約17kV、34kV、51kV、68kVおよび85kVの引出電圧でC16 を用い1keV、2keV、3keV、4keVおよび5keVの実効注入エネルギーで注入された炭素のSIMSプロファイルを示している。注入深さは、実効インプラントエネルギーでモノマーC注入を用いて得られるものに十分対応している。
図5は、1keV炭素インプラント後の300eVホウ素インプラントの注入された状態およびアニール後の両方のSIMSプロファイルを示している。炭素プロファイル(アニールの前後)も示しており、これは、ホウ素とは異なり、炭素がアニール中に拡散または濃度変化を起こさないことを示している。注入された状態およびアニール後のホウ素プロファイルは、図5の注入されたホウ素のプロファイルがチャネリング効果を伴わないことを示している点を除き、図3に示したものと同様である。これは、8E17cm−2未満の濃度で長く深いテール部を示している図3の注入されたホウ素のプロファイルと比較すると明らかである。したがって、この効果は、図5のデータでは最初に実施されたが図3のホウ素クラスターのインプラントの前には実施されていない炭素クラスターの注入にのみ起因する。したがって、炭素クラスターインプラントは二つの重要な利点を提供する:(1)シリコンを予備非晶質化して、これに続くホウ素インプラントのチャネリングを低減または排除すること、および(2)アニール中の拡散制御。これら二つの利点は、ホウ素インプラントがクラスターを用いず、モノマーBでも機能する場合であってももたらされる。
図6は、さまざまな炭素+ホウ素インプラント条件でのアニール後の接合深さを示している。予想どおり、300eVでのホウ素接合は500evでの接合より浅い。もっとも浅い接合は、約2keVの炭素インプラントエネルギーの場合である。炭素に起因する漏れの発生リスクは、浅い(S/Dエクステンション領域)接合の方がより深い(深いS/D領域)接合においてより低下する可能性があるので、炭素をより深くではなくより浅く注入することは有利である。理想的には、漏れを最小限に抑えるために、炭素をもっとも浅いホウ素インプラントと同じ程度にすることが望ましい。炭素のクラスターを使用すると、もっとも低い注入エネルギーにおいてモノマー炭素より高いドーズ量での浅い炭素インプラントが可能になる。
図7は、図6で生じた接合に関連するシート抵抗値を示している。より浅い接合はより高いシート抵抗を生じる傾向があるので、図7の傾向は図6の傾向とは異なる。しかしながら、2keVの炭素+300eVまたは500evのBのデータは、1keVの炭素インプラントを用いたデータと比較して、接合深さの低減とシート抵抗の低下の両方を示している。これは、より高い炭素エネルギーを用いると活性化が実際に改善されることを示している。
図8は、ビベンジル(ジベンジルまたは1,2−ジフェニルエタンとしても知られる)すなわちC1414から発生させたイオンビームの質量スペクトルを示している。該質量スペクトルは、イオン種C に対応する約91amuの強いピークを示している。イオン化中にビベンジル分子は2つのC分子に分解する。約182amuのピークはC1414に対応する。他の炭素クラスター種、例えば、C 、C 、C 、C 、C 、およびC のイオンビームが形成する可能性があり、これらの種を、さまざまな技術的理由により、例えば所定の分析用磁石の制約内のより高いプロセスエネルギーで注入するために、炭素をシリコン中に注入するのに用いてもよい。
図9は、3つの異なるドーズ量(2E15、4E15および8E15原子/cm)に関する10keVでのCインプラントのSIMSプロファイル(炭素濃度対深さ)を示している。図10は、ドーズ量2e15で700℃、900℃および1100℃において5secにわたりアニールしたCインプラント(炭素原子1個あたり10keV)のラマンスペクトルを示している。各試料に関しラマンピークのシフトを測定し、Gダイン/cmでの応力値に変換した。得られた値は、700℃でのより低いアニール温度が、より高いアニール温度と比較してより高い応力値を与えたことを示している。この炭素分子インプラントを用いて、かなりの置換炭素を達成しうることが示されている。
N型およびP型の浅接合の形成
本方法の重要な施用は、CMOS作製手順の一部としてN型およびP型の浅接合を形成するためにクラスターイオン注入を使用することである。CMOSは現行の使用において主要なデジタル集積回路技術であり、その名称は同一チップ上にN−チャネルおよびP−チャネルの両方のMOSトランジスタ(相補的(Complementay)MOS:NおよびPの両方)を形成することを意味する。CMOSの成功は、回路の設計者が反対のトランジスタの相補的性質を利用してより良い回路、具体的には、代替技術に比べ少ない有効電力を生じるものを作り出すことができる点である。NおよびPという専門用語は負(Negative)および正(Positive)に基づいており(N型半導体は負の多数キャリヤを有し、逆の場合も同様である)、N−チャネルおよびP−チャネルトランジスタは、各領域のタイプ(極性)が反転している互いの複製物であることを指摘しておく。同一基板上に両方のタイプのトランジスタを作製するには、他のタイプのデバイスをフォトレジストのシールド層で保護しつつ、N型不純物および次にP型不純物を順次注入することが必要である。各トランジスタのタイプは正確に動作するために両方の極性の領域を必要とするが、浅接合を形成するインプラントはトランジスタと同じタイプのもの、すなわち、N−チャネルトランジスタにはN型の浅いインプラント、P−チャネルトランジスタにはP型の浅いインプラントであることを指摘しておく。このプロセスの例を図12および13に示す。
図11に、部分的に完了したプロセスを示す。完了したプロセスは、ウェル(N−ウェル81およびP−ウェル81)の形成、トレンチアイソレーション構造85の形成、ゲート誘電体84の形成、ならびにゲート電極材料83の付着およびパターニングを包含する。これに加えて、NMOSトランジスタを形成するために、適したフォトレジストマスク材料86を付着させパターニングしている。本発明の文脈中では、図11に例示するように、クラスター炭素イオン88を、後にNMOSドレインエクステンションおよびNMOSソース/ドレイン構造になる領域中の基板の非マスキング領域[89ではなく、似ているが異なる領域]に、典型的には20〜50nmまたはこれをほんの少し下回る深さ、例えば、目的のデバイスの接合深さまで最初に注入する。以下に記載するように、3E14〜2E15のクラスター炭素イオンインプラントは、シリコンを炭素でドーピングすることに加え、浅いシリコン層を非晶質化する。
炭素クラスターイオンインプラントを注入した後、図12はN型クラスターインプラント88によるN−チャネルドレインエクステンション89の形成方法を例示しており、図13はP型クラスターインプラント91によるP−チャネルドレインエクステンション90の形成を示している。N型およびP型の両方のトランジスタが同様の幾何学の浅接合を必要とし、したがってN型およびP型の両方のクラスターインプラントを有すると高度なCMOS構造の形成に有利であることに留意されたい。
NMOSトランジスタを形成する場合のこの方法の施用例を図14に示す。この図は、半導体デバイスの製造のフロントエンドプロセス段階の一部を経た半導体基板41を示している。例えば、該構造は、P−ウェル43、トレンチアイソレーション42、およびゲートスタック形成44、45の段階を通じて処理したN型半導体基板41からなる。ゲートスタック、P−ウェルおよびトレンチアイソレーションを形成するための典型的プロセスは、2004年1月8日公開で“An Ion Implantaion Device and a Method of semiconductor Manufacturing By the Implantation of Boron Hydride Cluster Ions”という表題の国際公開第WO2004/003973 A2号に開示されており、これを本明細書中で参考として援用する。
これまで、ヒ素インプラントがNMOSのSDE構造を形成するために用いられてきた。これは、Asはシリコン中でゆるやかな拡散を示すので、適切な構造を形成するための追加的手段が必要ないためである。しかしながら、先端技術のSDEにAsを使用することを妨げうる問題がある。例えば、シリコンはヒ素よりリンに対し高い固溶度(solid solubility)を有するので、リンはヒ素より高濃度で活性化することができる。したがって、リンはヒ素より低い抵抗率のS/D領域をもたらすことができる。抵抗率は、高度なデバイスのようにデバイスが浅く(小さく)なるほど上昇する傾向があるので、これは重要な特徴である。これらの場合リンは実行可能な代替物になりうるが、ヒ素と異なり、リンはシリコン中で迅速な拡散を示すので、炭素による拡散抑制が興味深いプロセスになる。P−ウェル43は、N型基板41と、ウェル43においてトランジスタに接合アイソレーションを提供する接合を形成する。トレンチアイソレーション42は、N−ウェルおよびP−ウェル間の(すなわちCMOS構造全体に)横方向の誘電性アイソレーションを提供する。ゲートスタックは、ゲート酸化物層44およびポリシリコンゲート電極45とともに構築され、トランジスタのゲートスタックを形成するようにパターニングされている。フォトレジスト46を施用し、NMOSトランジスタのための区域は暴露されるが基板41の他の区域はシールドされるようにパターニングする。フォトレジスト46を施用後、基板41は、該デバイス作製プロセスで必要なもっとも浅いドーピング層であるドレインエクステンションのインプラントをいつでもできる状態になっている。
0.10μm技術ノードのリーディングエッジデバイスに関する典型的なプロセス要件は、1keV〜2keVのヒ素インプラントエネルギーおよび5×1014cm−2のヒ素ドーズ量である。クラスターイオンビーム47は、この場合As であり、典型的にはイオンビームの伝搬方向が基板に対して放線状になるように半導体基板に誘導して、ゲートスタックによるシャドーイングを回避する。As クラスターのエネルギーは所望のAsインプラントエネルギーの4倍、例えば4keV〜8keVであるべきである。クラスターは基板との衝突により解離し、ドーパント原子は半導体基板の表面付近の浅い層で停止し、該層がドレインエクステンション領域48を形成する。同じインプラントがゲート電極の表面層49に入り、ゲート電極のための追加的ドーピングを提供することに留意すべきである。したがって、図14に記載するプロセスは、提案した本発明の重要な施用の一つである。
この方法の他の施用例、すなわち深いソース/ドレイン領域の形成を図15に示す。この図は、半導体デバイスの作製におけるさらなるプロセス段階を実行した後の図14の半導体基板41を示している。追加的プロセス段階は、パッド酸化物51の形成およびゲートスタックの側壁上でのスペーサー52の形成を包含する。図14に記載したが明確にするために図15で確認するプロセス段階を繰り返して、P−ウェル43は、N型基板41と、ウェル43においてトランジスタに接合アイソレーションを提供する接合を形成する。トレンチアイソレーション42は、N−ウェルおよびP−ウェル間の(すなわちCMOS構造全体に)横方向の誘電性アイソレーションを提供する。ゲートスタックは、ゲート酸化物層44およびポリシリコンゲート電極45とともに構築され、トランジスタのゲートスタックを形成するようにパターニングされている。フォトレジスト46を施用し、NMOSトランジスタのための区域は暴露されるが基板41の他の区域はシールドされるようにパターニングする。フォトレジスト46を施用後。クラスターイオンビーム54は、この場合As であるが同様のドーズ量のリンインプラントを代わりに用いてもよく、典型的にはイオンビームの伝搬方向が基板に対して放線状になるように半導体基板に誘導して、ゲートスタックによるシャドーイングを回避する。ドーパント原子は半導体基板の表面付近の浅い層で停止し、該層がドレインエクステンション領域48を形成する。同じインプラントがゲート電極の表面層49に入り、ゲート電極のための追加的ドーピングを提供することに留意すべきである。パッド酸化物51は、基板の暴露区域、ゲート電極の上面49および暴露される可能性があるゲート誘電性エッジを保護するために用いられる酸化物(二酸化ケイ素)の薄層である。パッド酸化物51は、典型的には5〜10nmの厚さまで熱成長させる。他方、スペーサー52は、二酸化ケイ素、窒化ケイ素、またはこれらの組合せのいずれかの誘電性領域であり、ゲートスタックの側面上に存在してゲート電極を絶縁する働きをする。ソース/ドレインインプラント(例えば54)のための位置合わせガイドとしても働き、該ガイドは、トランジスタが適切に動作するようにゲートエッジから間隔を置いて配置されなければならない。スペーサー52は、二酸化ケイ素および/または窒化ケイ素層を付着させた後、これを、ソース/ドレイン領域から誘電体が除去される一方ゲートスタックの側面上に残存層が残るようにプラズマエッチングすることにより形成する。スペーサー52のエッチング後、フォトレジスト層53を施用し、注入されるトランジスタ、この例ではNMOSトランジスタが暴露されるようにパターニングする。つぎに、ソースおよびドレイン領域55を形成するためのイオンインプラントを実施する。このインプラントは低いエネルギーにおいて高いドーズ量を必要とするので、提案しているクラスター注入法の適切な施用である。0.13um技術ノードに典型的なインプラントパラメーターは、5×1015cm−2のヒ素ドーズ量においてヒ素原子(54)1個あたり約6keVであるので、24keV、1.25×1015cm−2のAs インプラント、12keV、2.5×1015cm−2のAs インプラント、または6keV、5×1015cm−2のAsインプラントを必要とする。
15に示すように、ソースおよびドレイン領域55はこのインプラントにより形成される。これらの領域は、回路インターコネクト(該プロセスにおいて後で形成される)と、チャネル領域56およびゲートスタック44、45と併せてドレインエクステンション48により定義される真性トランジスタ(intrinsic transistor)との間に、導電率の高い接続を提供する。ゲート電極45はこのインプラントに暴露される可能性があり(図示するように)、その場合は、ソース/ドレインインプラントはゲート電極のための主要ドーピング源を提供する。これは、図15中にポリドーピング層57として示している。
PMOSのドレインエクステンション148およびPMOSのソースおよびドレイン領域155の形成を示す詳細な図を、それぞれ図16および17に示す。構造およびプロセスは図14および15と同じであり、ドーパントのタイプが反対である。したがって、図16は、半導体デバイスの製造のフロントエンドプロセス段階の一部を経た半導体基板141を示している。例えば、該構造は、N−ウェル143、トレンチアイソレーション142、およびゲートスタック形成144、145の段階を通じて処理したP型半導体基板141からなる。これまで、ホウ素インプラントがPMOSのSDE構造を形成するために用いられてきたが、本発明ではB18 のようなホウ素クラスターイオンを用いる。
N−ウェル143は、P型基板141と、ウェル143においてトランジスタに接合アイソレーションを提供する接合を形成する。トレンチアイソレーション142は、N−ウェルおよびP−ウェル間の(すなわちCMOS構造全体に)横方向の誘電性アイソレーションを提供する。ゲートスタックは、ゲート酸化物層144およびポリシリコンゲート電極145とともに構築され、トランジスタのゲートスタックを形成するようにパターニングされている。フォトレジスト146を施用し、PMOSトランジスタのための区域は暴露されるが基板141の他の区域はシールドされるようにパターニングする。フォトレジスト146を施用後、基板141は、該デバイス作製プロセスで必要なもっとも浅いドーピング層であるドレインエクステンションのインプラントをいつでもできる状態になっている。0.10μm技術ノードのリーディングエッジデバイスに関する典型的なプロセス要件は、0.5keV〜1keVのホウ素インプラントエネルギーおよび5×1014cm−2のホウ素ドーズ量である。クラスターイオンビーム147は、この場合B18 であり、典型的にはイオンビームの伝搬方向が基板に対して放線状になるように半導体基板に誘導して、ゲートスタックによるシャドーイングを回避する。B18 クラスターのエネルギーは所望のBインプラントエネルギーの20倍、例えば10keV〜20keVであるべきであり、B18 のドーズ量はホウ素のドーズ量の18分の1、すなわち約2.8E13であるべきである。クラスターは基板との衝突により解離し、ドーパント原子は半導体基板の表面付近の浅い層で停止し、該層がドレインエクステンション領域148を形成する。同じインプラントがゲート電極の表面層149に入り、ゲート電極のための追加的ドーピングを提供することに留意すべきである。したがって、図16に記載するプロセスは、提案した本発明の重要な施用の一つである。
この方法の他の施用例、すなわち深いソース/ドレイン領域の形成を図17に示す。この図は、半導体デバイスの作製におけるさらなるプロセス段階を実行した後の図16の半導体基板141を示している。追加的プロセス段階は、パッド酸化物151の形成およびゲートスタックの側壁上でのスペーサー152の形成を包含する。図16に記載したが明確にするために図17で確認するプロセス段階を繰り返して、N−ウェル143は、P型基板141と、ウェル143においてトランジスタに接合アイソレーションを提供する接合を形成する。トレンチアイソレーション142は、N−ウェルおよびP−ウェル間の(すなわちCMOS構造全体に)横方向の誘電性アイソレーションを提供する。ゲートスタックは、ゲート酸化物層144およびポリシリコンゲート電極145とともに構築され、トランジスタのゲートスタックを形成するようにパターニングされている。フォトレジスト146を施用し、PMOSトランジスタのための区域は暴露されるが基板141の他の区域はシールドされるようにパターニングする。フォトレジスト146を施用後。クラスターイオンビーム154は、この場合B18 であり、典型的にはイオンビームの伝搬方向が基板に対して放線状になるように半導体基板に誘導して、ゲートスタックによるシャドーイングを回避する。ドーパント原子は半導体基板の表面付近の浅い層で停止し、該層がドレインエクステンション領域148を形成する。同じインプラントがゲート電極の表面層149に入り、ゲート電極のための追加的ドーピングを提供することに留意すべきである。パッド酸化物151は、基板の暴露区域、ゲート電極の上面149および暴露される可能性があるゲート誘電性エッジを保護するために用いられる酸化物(二酸化ケイ素)の薄層である。パッド酸化物151は、典型的には5〜10nmの厚さまで熱成長させる。他方、スペーサー152は、二酸化ケイ素、窒化ケイ素、またはこれらの組合せのいずれかの誘電性領域であり、ゲートスタックの側面上に存在してゲート電極を絶縁する働きをする。ソース/ドレインインプラント(例えば154)のための位置合わせガイドとしても働き、該ガイドは、トランジスタが適切に動作するようにゲートエッジから間隔を置いて配置されなければならない。スペーサー152は、二酸化ケイ素および/または窒化ケイ素層を付着させた後、これを、ソース/ドレイン領域から誘電体が除去される一方ゲートスタックの側面上に残存層が残るようにプラズマエッチングすることにより形成する。
スペーサー152のエッチング後、フォトレジスト層153を施用し、注入されるトランジスタ、この例ではPMOSトランジスタが暴露されるようにパターニングする。つぎに、ソースおよびドレイン領域155を形成するためのイオンインプラントを実施する。このインプラントは低いエネルギーにおいて高いドーズ量を必要とするので、提案しているクラスター注入法の適切な施用である。0.10um技術ノードに典型的なインプラントパラメーターは、5×1015cm−2のホウ素ドーズ量においてホウ素原子(154)1個あたり約4keVであるので、80keV、2.8×1014cm−2のB18 インプラント、または4keV、5×1015cm−2のBインプラントを必要とする。これらの領域は、回路インターコネクト(該プロセスにおいて後で形成される)と、チャネル領域156およびゲートスタック144、145と併せてドレインエクステンション148により定義される真性トランジスタとの間に、導電率の高い接続を提供する。ゲート電極145はこのインプラントに暴露される可能性があり(図示するように)、その場合は、ソース/ドレインインプラントはゲート電極のための主要ドーピング源を提供する。これは、図17中にポリドーピング層157として示している。
一般に、イオン注入単独では有効な半導体接合の形成に不十分である:注入したドーパントを電気的に活性化するために熱処理が必要である。注入後、半導体基板の結晶構造は大きな損傷を受けており(基板原子が結晶格子の位置から移動する)、注入したドーパントは基板原子と弱い結合しか形成しないので、注入された層の電気的性質は不十分である。半導体の結晶構造を修復し、ドーパント原子を置換的に、すなわち結晶構造中の基板原子の1つの位置に位置決めするために、高温(900℃を超える)での熱処理すなわちアニールを典型的には実施する。この置換により、ドーパントが基板原子と結合し、電気的に活性になる、すなわち、半導体層の導電率を変化させることが可能になる。しかしながら、この熱処理は、注入したドーパントの拡散が熱処理中に起こるので、浅接合の形成には不利に働く。実際、熱処理中のホウ素拡散は、sub−0.1ミクロンの状況(regime)でのUSJの達成において制限要因である。浅く注入したドーパントの拡散を最小限に抑えるために、“スパイクアニール”のような高度なプロセスがこの熱処理に関し開発されている。スパイクアニールは、高温での滞留時間がゼロに近い迅速な熱的プロセスである:温度を可能な限り急速に上昇および下降させる。このようにして、注入したドーパントを活性化するのに必要な高温に達する一方、注入したドーパントの拡散は最小限に抑えられる。そのような高度な熱処理を本発明と併せて利用すると、完成した半導体デバイスの作製において本発明の利点が最大限になると予想される。とりわけ、注入した炭素は、熱的活性化プロセス中に一時的に増大したドーパントの拡散を低減する。
明らかに、上記教示の観点から、本発明の多くの修正および変動が可能である。したがって、添付する請求項の範囲内で、本発明を具体的に上記したものとは異なる方法で実行してもよいことを、理解すべきである。
特許証により保護されることが望ましいことを、添付する特許請求の範囲に記載する。
イオン注入システムにより生じたB1822の質量スペクトルを示す図である。 イオン注入システムにより生じたC1610の質量スペクトルを示す図である。 18 によりシリコン中に注入したホウ素の二次イオン質量分析法(SIMS)での深さプロファイルおよび活性化プロファイルに対するC16 共注入の効果を示す図である。 16 を用い1keV、2keV、3keV、4keVおよび5keVの炭素インプラントエネルギーで注入された炭素のSIMSプロファイルを示す図である。 1keV炭素インプラント後の300eVホウ素インプラントの注入された状態およびアニール後の両方のSIMSプロファイルを示す図である。炭素プロファイル(アニールの前後)も示している。 さまざまな炭素+ホウ素インプラント条件での接合深さを示す図である。 図6で生じた接合に関連するシート抵抗値を示す図である。 ビベンジル(ジベンジルまたは1,2−ジフェニルエタンとしても知られる)すなわちC1414から発生させたイオンビームの質量スペクトルを示す図である。該質量スペクトルは、イオン種C に対応する91amuの強いピークと、C 、C 、C 、C 、およびC に対応する一連のより小さなピークを示している。 3つの異なるドーズ量(2E15、4E15および8E15原子/cm)に関する10keVでのCインプラントのSIMSプロファイル(炭素濃度対深さ)を示す図である。 ドーズ量2e15で700℃、900℃および1100℃において5secにわたりアニールしたCインプラント(炭素原子1個あたり10keV)のラマンスペクトルを示す図である。各試料に関しラマンピークのシフトを測定し、Gダイン/cmでの応力値に変換した。 炭素クラスターの注入により炭素をドープし非晶質化した層の形成中のCMOS作製手順の略図である。非晶質化層に対応する区域を示す。 NMOSドレインエクステンションの形成中のCMOS作製手順の略図である。 PMOSドレインエクステンションの形成中のCMOS作製手順の略図である。 NMOS半導体デバイスの製造プロセスにおけるN型ドレインエクステンションインプラントの段階での半導体基板の略図である。 NMOS半導体デバイスの製造プロセスにおけるソース/ドレインインプラントの段階での半導体基板の略図である。 PMOS半導体デバイスの製造プロセスにおけるP型ドレインエクステンションインプラントの段階での半導体基板の略図である。 PMOS半導体デバイスの製造プロセスにおけるソース/ドレインインプラントの段階での半導体基板の略図である。

Claims (16)

  1. トランジスタの接合特性を改善するための半導体基板へのイオンの注入方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素クラスターのイオンでドープされるときに、
    (a)形態C[式中、nおよびxは整数であり、2≦nおよびx≧0である]の材料の気相分子を大量に製造する段階;
    (b)C分子をイオン化してC またはC [式中、yはy>0であるような整数である]を形成する段階;
    (c)イオン化した分子を電界により前記半導体基板へ加速する段階;および
    (d)続いて、ホウ素、ヒ素、リン、又はホウ化水素クラスターのイオンのドーズ量を前記半導体基板へ注入する段階
    を含み、
    段階(a)が、フルオランテン、C 16 10 の気相分子を大量に製造することを含む、方法
  2. トランジスタの接合特性を改善するための半導体基板へのイオンの注入方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素クラスターのイオンでドープされるときに、
    (a)形態C[式中、nおよびxは整数であり、2≦nおよびx≧0である]の材料の気相分子を大量に製造する段階;
    (b)C分子をイオン化してC またはC [式中、yはy>0であるような整数である]を形成する段階;
    (c)イオン化した分子を電界により前記半導体基板へ加速する段階;および
    (d)続いて、ホウ素、ヒ素、リン、又はホウ化水素クラスターのイオンのドーズ量を前記半導体基板へ注入する段階
    を含み、
    段階(a)が、ビベンジル、C 14 14 の気相分子を大量に製造することを含み、段階(b)がC イオンのイオンビームを製造する、方法
  3. 基板を有する金属酸化物半導体(MOS)デバイスの形成方法であって、該方法が、
    (a)前記基板の第1領域にウェルおよび対峙するトレンチアイソレーションを形成する段階;
    (b)前記基板の暴露部分を定義する前記対峙するトレンチアイソレーションの間の前記基板上にゲートスタックを形成する段階;前記形成は、
    i)ゲート誘電体を付着または成長させる段階;
    ii)ポリシリコンゲート電極を付着させる段階、および
    iii)パターニングしてゲートスタックを形成する段階
    を含む;
    (c)前記基板の前記暴露部分上および前記ゲートスタックの上面上にパッド酸化物を付着させる段階;
    (d)C イオンを注入して、ソースおよびドレイン領域中にSiC合金を形成する段階;
    (e)Pまたはリンクラスターイオンを注入して、前記ゲートスタックと前記対峙するトレンチアイソレーションの間にドレインエクステンションを形成する段階;
    (f)前記ゲートスタックに隣接するスペーサーを形成する段階;
    (g)続いて、N型クラスターイオンを注入してソースおよびドレイン領域を形成する段階;
    (h)熱処理を提供して前記ドーピング段階により注入した材料を活性化し、炭素をソースおよびドレイン中の格子構造中に組み込み、これによりN型金属酸化物半導体(MOS)デバイス(NMOS)を形成する段階、
    を含み、
    前記クラスター炭素(C )インプラントが、約70keV〜約100keVの注入エネルギーにおける1E15〜5E15のドーズ量のC インプラントからなる、方法
  4. さらに、
    (a)前記基板上の第1および第2領域を分離する段階;
    (b)前記NMOSデバイスを第1領域に形成する段階;および
    (c)PMOSデバイスを第2領域に形成する段階、
    を包含する、請求項に記載の方法。
  5. トランジスタの接合特性を改善するための半導体基板へのイオンの注入方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素クラスターのイオンでドープされるときに、
    (a)形態C[式中、nおよびxは整数であり、2≦nおよびx≧0である]の材料の気相分子を大量に製造する段階;
    (b)C分子をイオン化してC またはC [式中、yはy>0であるような整数である]を形成する段階;
    (c)イオン化した分子を電界により前記半導体基板へ加速する段階;および
    (d)続いて、ホウ素、ヒ素、リン、又はホウ化水素クラスターのイオンのドーズ量を前記半導体基板へ注入する段階
    を含み、
    段階(a)が、フルオランテン、C1610の気相分子を大量に製造することを含む、方法。
  6. トランジスタの接合特性を改善するための半導体基板へのイオンの注入方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素クラスターのイオンでドープされるときに、
    (a)ビベンジル、C1414分子の気相分子を大量に製造する段階;
    (b)C1414分子をイオン化してC7イオンのイオンビームを生成する段階;
    (c)C7イオンを電界により前記半導体基板へ加速する段階;および
    (d)続いて、ホウ素、ヒ素、リン、又はホウ化水素クラスターのイオンのドーズ量を前記半導体基板へ注入する段階
    を含む前記方法。
  7. トランジスタの接合特性を改善するための半導体基板へのイオンの注入方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素クラスターのイオンでドープされるときに、
    (a)形態C1414の材料の気相分子を大量に製造する段階;
    (b)C1414分子をイオン化してC7 イオンを形成する段階;
    (c)C7 イオンを電界により前記半導体基板へ加速する段階;および
    (d)続いて、ホウ素、ヒ素、リン、又はホウ化水素クラスターのイオンのドーズ量を前記半導体基板へ注入する段階
    を含む前記方法。
  8. トランジスタの接合特性を改善するための半導体基板へのイオンの注入方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素クラスターのイオンでドープされるときに、
    (a)形態C1414の材料の気相分子を大量に製造する段階;
    (b)C1414分子をイオン化してC イオン及びCn-1 イオン[式中、nは整数であり、3<n<8である]を形成する段階;
    (c)イオン化された分子を電界により前記半導体基板へ加速する段階;および
    (d)続いて、ホウ素、ヒ素、リン、又はホウ化水素クラスターのイオンのドーズ量を前記半導体基板へ注入する段階
    を含む前記方法。
  9. トランジスタの接合特性を改善するための半導体基板をドープする方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンでドープされるときに、
    (a)形態C イオン[式中、nおよびxは整数であり、2≦nおよび0≦xである]のイオンを生成する段階;
    (b)C イオンを電界により前記半導体へ加速する段階;
    (c)ホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンを生成する段階;
    (d)前記ドーパントイオンを前記半導体基板に注入する段階;
    を含み、
    工程(a)及び(b)が、
    (a)形態C イオンのイオンを生成する段階;
    (b)C イオンを電界により半導体へ加速する段階、
    を含む、方法
  10. トランジスタの接合特性を改善するための半導体基板をドープする方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンでドープされるときに、
    (a)形態C イオン[式中、nおよびxは整数であり、2≦nおよび0≦xである]のイオンを生成する段階;
    (b)C イオンを電界により前記半導体へ加速する段階;
    (c)ホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンを生成する段階;
    (d)前記ドーパントイオンを前記半導体基板に注入する段階;
    を含み、
    工程(a)及び(b)が、
    (a)形態C イオンのイオンを生成する段階;
    (b)C イオンを電界により半導体へ加速する段階、
    を含む、方法
  11. トランジスタの接合特性を改善するための半導体基板をドープする方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンでドープされるときに、
    (a)形態C イオン[式中、nおよびxは整数であり、2≦nおよび0≦xである]のイオンを生成する段階;
    (b)C イオンを電界により前記半導体へ加速する段階;
    (c)ホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンを生成する段階;
    (d)前記ドーパントイオンを前記半導体基板に注入する段階;
    を含み、
    工程(a)及び(b)が、
    (a)形態C イオンのイオンを生成する段階;
    (b)C イオンを電界により半導体へ加速する段階、
    を含む、方法。
  12. トランジスタの接合特性を改善するための半導体基板をドープする方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンでドープされるときに、
    (a)形態C イオン[式中、nおよびxは整数であり、2≦nおよび0≦xである]のイオンを生成する段階;
    (b)C イオンを電界により前記半導体へ加速する段階;
    (c)ホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンを生成する段階;
    (d)前記ドーパントイオンを前記半導体基板に注入する段階;
    を含み、
    工程(a)及び(b)が、
    (a)形態C イオンのイオンを生成する段階;
    (b)C イオンを電界により半導体へ加速する段階、
    を含む、方法
  13. トランジスタの接合特性を改善するための半導体基板をドープする方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンでドープされるときに、
    (a)形態C イオン[式中、nおよびxは整数であり、2≦nおよび0≦xである]のイオンを生成する段階;
    (b)C イオンを電界により前記半導体へ加速する段階;
    (c)ホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンを生成する段階;
    (d)前記ドーパントイオンを前記半導体基板に注入する段階;
    を含み、
    工程(a)及び(b)が、
    (a)形態C イオンのイオンを生成する段階;
    (b)C イオンを電界により半導体へ加速する段階、
    を含む、方法。
  14. トランジスタの接合特性を改善するための半導体基板をドープする方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンでドープされるときに、
    (a)形態C イオン[式中、nおよびxは整数であり、2≦nおよび0≦xである]のイオンを生成する段階;
    (b)C イオンを電界により前記半導体へ加速する段階;
    (c)ホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンを生成する段階;
    (d)前記ドーパントイオンを前記半導体基板に注入する段階;
    を含み、
    工程(a)及び(b)が、
    (a)形態C イオンのイオンを生成する段階;
    (b)C イオンを電界により半導体へ加速する段階、
    を含む、方法
  15. トランジスタの接合特性を改善するための半導体基板をドープする方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンでドープされるときに、
    (a)形態C イオン[式中、nおよびxは整数であり、2≦nおよび0≦xである]のイオンを生成する段階;
    (b)C イオンを電界により前記半導体へ加速する段階;
    (c)ホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンを生成する段階;
    (d)前記ドーパントイオンを前記半導体基板に注入する段階;
    を含み、
    工程(a)及び(b)が、
    (a)形態C 14 イオンのイオンを生成する段階;
    (b)C 14 イオンを電界により半導体へ加速する段階、
    を含む、方法
  16. トランジスタの接合特性を改善するための半導体基板をドープする方法であって、集積回路の製造において前記基板がホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンでドープされるときに、
    (a)形態C イオン[式中、nおよびxは整数であり、2≦nおよび0≦xである]のイオンを生成する段階;
    (b)C イオンを電界により前記半導体へ加速する段階;
    (c)ホウ素、ヒ素、リン、又はホウ化水素のドーパントイオンを生成する段階;
    (d)前記ドーパントイオンを前記半導体基板に注入する段階;
    を含み、
    工程(a)及び(b)が、
    (a)形態C 16 イオンのイオンを生成する段階;
    (b)C 16 イオンを電界により半導体へ加速する段階、
    を含む、方法
JP2008544499A 2005-12-09 2006-12-06 炭素クラスターの注入により半導体デバイスを製造するためのシステムおよび方法 Expired - Fee Related JP5583344B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US74879705P 2005-12-09 2005-12-09
US60/748,797 2005-12-09
PCT/US2006/046651 WO2007070321A2 (en) 2005-12-09 2006-12-06 System and method for the manufacture of semiconductor devices by the implantation of carbon clusters

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2014088858A Division JP5911528B2 (ja) 2005-12-09 2014-04-23 炭素クラスターの注入により半導体デバイスを製造するためのシステムおよび方法

Publications (3)

Publication Number Publication Date
JP2009518869A JP2009518869A (ja) 2009-05-07
JP2009518869A5 JP2009518869A5 (ja) 2011-12-01
JP5583344B2 true JP5583344B2 (ja) 2014-09-03

Family

ID=38163408

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008544499A Expired - Fee Related JP5583344B2 (ja) 2005-12-09 2006-12-06 炭素クラスターの注入により半導体デバイスを製造するためのシステムおよび方法
JP2014088858A Active JP5911528B2 (ja) 2005-12-09 2014-04-23 炭素クラスターの注入により半導体デバイスを製造するためのシステムおよび方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014088858A Active JP5911528B2 (ja) 2005-12-09 2014-04-23 炭素クラスターの注入により半導体デバイスを製造するためのシステムおよび方法

Country Status (7)

Country Link
US (3) US7666771B2 (ja)
EP (2) EP2469584A1 (ja)
JP (2) JP5583344B2 (ja)
KR (2) KR101455404B1 (ja)
CN (1) CN101313395B (ja)
TW (1) TWI424477B (ja)
WO (1) WO2007070321A2 (ja)

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6686595B2 (en) 2002-06-26 2004-02-03 Semequip Inc. Electron impact ion source
KR100864048B1 (ko) * 2002-06-26 2008-10-17 세미이큅, 인코포레이티드 이온 소스
DE102004061438B3 (de) * 2004-12-17 2006-04-06 Sgl Carbon Ag Kalibrierkörper, Lehre oder Messeinrichtung, vorzugsweise Gewindemesseinrichtung und Verfahren zur Herstellung derselben
JP5114829B2 (ja) * 2005-05-13 2013-01-09 ソニー株式会社 半導体装置およびその製造方法
US20100112795A1 (en) * 2005-08-30 2010-05-06 Advanced Technology Materials, Inc. Method of forming ultra-shallow junctions for semiconductor devices
US7943204B2 (en) 2005-08-30 2011-05-17 Advanced Technology Materials, Inc. Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
KR101455404B1 (ko) 2005-12-09 2014-10-27 세미이큅, 인코포레이티드 탄소 클러스터의 주입에 의한 반도체 디바이스의 제조를위한 시스템 및 방법
US20070178678A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
US7795101B2 (en) * 2006-04-03 2010-09-14 United Microelectronics Corp. Method of forming a MOS transistor
US20080258178A1 (en) * 2006-04-03 2008-10-23 Hsiang-Ying Wang Method of forming a MOS transistor
US7396717B2 (en) * 2006-04-03 2008-07-08 United Microelectronics Corp. Method of forming a MOS transistor
DE102006019935B4 (de) * 2006-04-28 2011-01-13 Advanced Micro Devices, Inc., Sunnyvale SOI-Transistor mit reduziertem Körperpotential und ein Verfahren zur Herstellung
US7919402B2 (en) 2006-12-06 2011-04-05 Semequip, Inc. Cluster ion implantation for defect engineering
US8124511B2 (en) * 2006-12-18 2012-02-28 Texas Instruments Incorporated Method of manufacturing a semiconductor device having reduced N/P or P/N junction crystal disorder
US7714358B2 (en) * 2007-02-08 2010-05-11 International Business Machines Corporation Semiconductor structure and method of forming the structure
WO2008128039A2 (en) * 2007-04-11 2008-10-23 Semequip, Inc. Cluster ion implantation for defect engineering
JP2009027027A (ja) * 2007-07-20 2009-02-05 Toshiba Corp 半導体装置の製造方法
US7807555B2 (en) * 2007-07-31 2010-10-05 Intersil Americas, Inc. Method of forming the NDMOS device body with the reduced number of masks
US7678637B2 (en) * 2007-09-21 2010-03-16 Texas Instruments Incorporated CMOS fabrication process
US7981483B2 (en) * 2007-09-27 2011-07-19 Tel Epion Inc. Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
US8192805B2 (en) * 2007-09-27 2012-06-05 Tel Epion Inc. Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
GB2455054B (en) * 2007-09-27 2011-12-07 Nxp Bv Method of manufacturing a finfet
US7897496B2 (en) * 2007-11-16 2011-03-01 Texas Instruments Incorporated Semiconductor doping with reduced gate edge diode leakage
JP2009152391A (ja) * 2007-12-20 2009-07-09 Fujitsu Microelectronics Ltd 半導体装置の製造方法及び半導体装置
US8003957B2 (en) * 2008-02-11 2011-08-23 Varian Semiconductor Equipment Associates, Inc. Ethane implantation with a dilution gas
US20090200494A1 (en) * 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
SG188150A1 (en) 2008-02-11 2013-03-28 Advanced Tech Materials Ion source cleaning in semiconductor processing systems
JP2010062529A (ja) * 2008-08-04 2010-03-18 Toshiba Corp 半導体装置の製造方法
US20100075499A1 (en) * 2008-09-19 2010-03-25 Olsen Christopher S Method and apparatus for metal silicide formation
US7807961B2 (en) * 2008-10-08 2010-10-05 Varian Semiconductor Equipment Associates, Inc. Techniques for ion implantation of molecular ions
JP5350815B2 (ja) * 2009-01-22 2013-11-27 株式会社東芝 半導体装置
US8206569B2 (en) * 2009-02-04 2012-06-26 Applied Materials, Inc. Porous three dimensional copper, tin, copper-tin, copper-tin-cobalt, and copper-tin-cobalt-titanium electrodes for batteries and ultra capacitors
US20100203391A1 (en) * 2009-02-09 2010-08-12 Applied Materials, Inc. Mesoporous carbon material for energy storage
US20100279479A1 (en) * 2009-05-01 2010-11-04 Varian Semiconductor Equipment Associates, Inc. Formation Of Raised Source/Drain On A Strained Thin Film Implanted With Cold And/Or Molecular Carbon
US20110021011A1 (en) * 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
CN105702547B (zh) 2009-10-27 2021-10-29 恩特格里斯公司 离子注入系统及方法
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
US8350236B2 (en) * 2010-01-12 2013-01-08 Axcelis Technologies, Inc. Aromatic molecular carbon implantation processes
US9383064B2 (en) 2010-01-14 2016-07-05 Entegris, Inc. Ventilation gas management systems and processes
TWI585042B (zh) 2010-02-26 2017-06-01 恩特葛瑞斯股份有限公司 用以增進離子植入系統中之離子源的壽命及性能之方法與設備
US8779383B2 (en) 2010-02-26 2014-07-15 Advanced Technology Materials, Inc. Enriched silicon precursor compositions and apparatus and processes for utilizing same
JP2011187491A (ja) * 2010-03-04 2011-09-22 Toshiba Corp 半導体装置および半導体装置の製造方法
US8343860B1 (en) 2010-03-23 2013-01-01 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude High C content molecules for C implant
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US9024273B2 (en) 2010-04-20 2015-05-05 Varian Semiconductor Equipment Associates, Inc. Method to generate molecular ions from ions with a smaller atomic mass
CN101834141B (zh) * 2010-04-28 2015-03-04 复旦大学 一种不对称型源漏场效应晶体管的制备方法
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8551845B2 (en) * 2010-09-21 2013-10-08 International Business Machines Corporation Structure and method for increasing strain in a device
CN102468178B (zh) * 2010-11-19 2014-06-04 中芯国际集成电路制造(上海)有限公司 晶体管的制作方法
WO2012073583A1 (en) * 2010-12-03 2012-06-07 Kabushiki Kaisha Toshiba Method of forming an inpurity implantation layer
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8564063B2 (en) 2010-12-07 2013-10-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8742373B2 (en) 2010-12-10 2014-06-03 Varian Semiconductor Equipment Associates, Inc. Method of ionization
US8343825B2 (en) 2011-01-19 2013-01-01 International Business Machines Corporation Reducing dislocation formation in semiconductor devices through targeted carbon implantation
US8877602B2 (en) * 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
WO2012117711A1 (ja) * 2011-02-28 2012-09-07 パナソニック株式会社 赤外発光素子の製造方法
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
KR20120107762A (ko) 2011-03-22 2012-10-04 삼성전자주식회사 반도체 소자의 제조 방법
JP5975418B2 (ja) * 2011-03-25 2016-08-23 日新イオン機器株式会社 イオン注入方法
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
TWI455248B (zh) 2011-05-06 2014-10-01 Inotera Memories Inc 具有摻質停止層的動態隨機存取記憶體及其製作方法
US8471249B2 (en) 2011-05-10 2013-06-25 International Business Machines Corporation Carbon field effect transistors having charged monolayers to reduce parasitic resistance
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
WO2012157162A1 (ja) 2011-05-13 2012-11-22 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
CN102820253B (zh) * 2011-06-08 2014-04-16 中国科学院上海微系统与信息技术研究所 一种基于soi衬底的高迁移率双沟道材料的制备方法
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8586440B2 (en) * 2011-07-27 2013-11-19 GlobalFoundries, Inc. Methods for fabricating integrated circuits using non-oxidizing resist removal
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8647951B2 (en) * 2011-08-24 2014-02-11 Globalfoundries Inc. Implantation of hydrogen to improve gate insulation layer-substrate interface
JP2013055213A (ja) * 2011-09-05 2013-03-21 Elpida Memory Inc 半導体装置及びその製造方法
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8729637B2 (en) * 2011-10-05 2014-05-20 International Business Machines Corporation Work function adjustment by carbon implant in semiconductor devices including gate structure
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8445356B1 (en) 2012-01-05 2013-05-21 International Business Machines Corporation Integrated circuit having back gating, improved isolation and reduced well resistance and method to fabricate same
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
JP5848142B2 (ja) * 2012-01-25 2016-01-27 ルネサスエレクトロニクス株式会社 縦型プレーナパワーmosfetの製造方法
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
KR20220025123A (ko) 2012-02-14 2022-03-03 엔테그리스, 아이엔씨. 주입 빔 및 소스 수명 성능 개선을 위한 탄소 도판트 기체 및 동축류
KR101982903B1 (ko) 2012-02-14 2019-05-27 엔테그리스, 아이엔씨. 주입 용품에서 인 축적을 최소화하기 위한 대체 물질 및 혼합물
CN103295950B (zh) * 2012-02-27 2015-05-20 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的制作方法
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
JP5985269B2 (ja) * 2012-06-26 2016-09-06 ルネサスエレクトロニクス株式会社 半導体装置
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
JP2016500927A (ja) 2012-10-31 2016-01-14 三重富士通セミコンダクター株式会社 低変動トランジスタ・ペリフェラル回路を備えるdram型デバイス、及び関連する方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
JP6278591B2 (ja) * 2012-11-13 2018-02-14 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
JP6535432B2 (ja) * 2012-11-13 2019-06-26 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
JP5799935B2 (ja) * 2012-11-13 2015-10-28 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
JP5776669B2 (ja) * 2012-11-13 2015-09-09 株式会社Sumco エピタキシャルシリコンウェーハの製造方法、エピタキシャルシリコンウェーハ、および固体撮像素子の製造方法
JP6280301B2 (ja) * 2012-11-13 2018-02-14 株式会社Sumco エピタキシャルシリコンウェーハの製造方法、エピタキシャルシリコンウェーハ、および固体撮像素子の製造方法
JP6107068B2 (ja) * 2012-11-13 2017-04-05 株式会社Sumco エピタキシャルシリコンウェーハの製造方法、エピタキシャルシリコンウェーハ、および固体撮像素子の製造方法
JP6278592B2 (ja) * 2012-11-13 2018-02-14 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
JP5799936B2 (ja) * 2012-11-13 2015-10-28 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
JP6289805B2 (ja) * 2012-11-13 2018-03-07 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
JP5776670B2 (ja) * 2012-11-13 2015-09-09 株式会社Sumco エピタキシャルシリコンウェーハの製造方法、エピタキシャルシリコンウェーハ、および固体撮像素子の製造方法
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
JP6303321B2 (ja) * 2013-08-08 2018-04-04 株式会社Sumco 貼り合わせウェーハの製造方法および貼り合わせウェーハ
SG10201801299YA (en) 2013-08-16 2018-03-28 Entegris Inc Silicon implantation in substrates and provision of silicon precursor compositions therefor
JP6065848B2 (ja) * 2014-01-07 2017-01-25 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
JP6427894B2 (ja) * 2014-02-21 2018-11-28 株式会社Sumco エピタキシャルウェーハの製造方法
JP6318728B2 (ja) * 2014-03-13 2018-05-09 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
JP2015220242A (ja) * 2014-05-14 2015-12-07 株式会社Sumco 半導体エピタキシャルウェーハの製造方法および固体撮像素子の製造方法
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
JP6459948B2 (ja) * 2015-12-15 2019-01-30 株式会社Sumco 半導体エピタキシャルウェーハの製造方法および固体撮像素子の製造方法
JP6750351B2 (ja) * 2016-07-05 2020-09-02 株式会社Sumco クラスターイオンビーム生成方法およびそれを用いたクラスターイオンビーム照射方法
US10276663B2 (en) * 2016-07-18 2019-04-30 United Microelectronics Corp. Tunneling transistor and method of fabricating the same
JP6737066B2 (ja) * 2016-08-22 2020-08-05 株式会社Sumco エピタキシャルシリコンウェーハの製造方法、エピタキシャルシリコンウェーハ、及び固体撮像素子の製造方法
JP2017123477A (ja) * 2017-02-28 2017-07-13 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
JP6265291B2 (ja) * 2017-03-28 2018-01-24 株式会社Sumco 貼り合わせウェーハの製造方法および貼り合わせウェーハ
JP2017175143A (ja) * 2017-05-01 2017-09-28 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
JP6361779B2 (ja) * 2017-05-01 2018-07-25 株式会社Sumco エピタキシャルシリコンウェーハの製造方法、エピタキシャルシリコンウェーハ、および固体撮像素子の製造方法
JP2017175145A (ja) * 2017-05-01 2017-09-28 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
JP2017183736A (ja) * 2017-05-11 2017-10-05 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
WO2019082235A1 (ja) * 2017-10-23 2019-05-02 ウルトラメモリ株式会社 半導体装置及び半導体装置の製造方法
JP6874718B2 (ja) * 2018-03-01 2021-05-19 株式会社Sumco 半導体エピタキシャルウェーハの製造方法
JP2020035789A (ja) * 2018-08-27 2020-03-05 キオクシア株式会社 半導体装置
JP2020047670A (ja) 2018-09-14 2020-03-26 キオクシア株式会社 半導体装置及び半導体記憶装置
US11961896B2 (en) * 2021-09-14 2024-04-16 Honeywell Federal Manufacturing & Technologies, Llc Diamond-like carbon coating for passive and active electronics

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE219243T1 (de) 1985-10-11 1987-09-24 Monolithic Memories, Inc., Santa Clara, Calif., Us Verfahren zur herstellung eines bipolaren transistors.
GB8908509D0 (en) * 1989-04-14 1989-06-01 Secr Defence Substitutional carbon in silicon
US5107538A (en) * 1991-06-06 1992-04-21 At&T Bell Laboratories Optical waveguide system comprising a rare-earth Si-based optical device
JPH05190849A (ja) * 1992-01-14 1993-07-30 Oki Electric Ind Co Ltd 半導体素子の製造方法
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5558718A (en) * 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5457760A (en) 1994-05-06 1995-10-10 At&T Ipm Corp. Wavelength division optical multiplexing elements
DE4440072C1 (de) 1994-11-10 1996-02-22 Inst Halbleiterphysik Gmbh Verfahren zur Herstellung einer vergrabenen monokristallinen Siliziumcarbidschicht
US5497006A (en) * 1994-11-15 1996-03-05 Eaton Corporation Ion generating source for use in an ion implanter
EP0717435A1 (en) * 1994-12-01 1996-06-19 AT&T Corp. Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby
US6153920A (en) * 1994-12-01 2000-11-28 Lucent Technologies Inc. Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
US5756391A (en) * 1995-03-24 1998-05-26 Kabushiki Kaisha Toshiba Anti-oxidation layer formation by carbon incorporation
JPH0941138A (ja) * 1995-07-31 1997-02-10 Res Dev Corp Of Japan ガスクラスターイオンビームによるイオン注入法
JPH0950970A (ja) * 1995-08-10 1997-02-18 Sony Corp 半導体装置の製造方法
JPH10125916A (ja) * 1996-10-24 1998-05-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP4096373B2 (ja) * 1997-03-25 2008-06-04 住友電気工業株式会社 硬質被膜とその製造方法
AU8675798A (en) 1997-07-29 1999-02-22 Silicon Genesis Corporation Cluster tool method and apparatus using plasma immersion ion implantation
US7154153B1 (en) * 1997-07-29 2006-12-26 Micron Technology, Inc. Memory device
JPH11260752A (ja) * 1998-03-11 1999-09-24 Seiko Epson Corp 半導体装置の製造方法
WO1999065070A2 (en) 1998-06-11 1999-12-16 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device comprising a mos transistor
US6329704B1 (en) 1999-12-09 2001-12-11 International Business Machines Corporation Ultra-shallow junction dopant layer having a peak concentration within a dielectric layer
US6452338B1 (en) * 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
EP2426693A3 (en) * 1999-12-13 2013-01-16 Semequip, Inc. Ion source
EP1307919A4 (en) 2000-07-12 2009-04-15 California Inst Of Techn ELECTRICAL PASSIVATION OF SILIC-SIZED SURFACES USING ORGANIC LAYERS
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
JP3824058B2 (ja) * 2001-05-23 2006-09-20 独立行政法人産業技術総合研究所 カルボランスーパークラスターおよびその製造方法
KR100864048B1 (ko) * 2002-06-26 2008-10-17 세미이큅, 인코포레이티드 이온 소스
US6686595B2 (en) 2002-06-26 2004-02-03 Semequip Inc. Electron impact ion source
CN101908473B (zh) * 2002-06-26 2013-03-13 山米奎普公司 通过植入n-及p-型簇离子及负离子制造cmos器件的方法
US20040002202A1 (en) * 2002-06-26 2004-01-01 Horsky Thomas Neil Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
JP4134315B2 (ja) * 2003-01-14 2008-08-20 独立行政法人産業技術総合研究所 炭素薄膜及びその製造方法
DE10318284A1 (de) * 2003-04-22 2004-11-25 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer verspannten Schicht auf einem Substrat und Schichtstruktur
US6936505B2 (en) * 2003-05-20 2005-08-30 Intel Corporation Method of forming a shallow junction
JP2007525838A (ja) * 2004-02-14 2007-09-06 エピオン コーポレーション ドープ済みおよび未ドープの歪み半導体の形成方法およびガスクラスタイオン照射による半導体薄膜の形成方法
US7015108B2 (en) * 2004-02-26 2006-03-21 Intel Corporation Implanting carbon to form P-type drain extensions
KR101455404B1 (ko) 2005-12-09 2014-10-27 세미이큅, 인코포레이티드 탄소 클러스터의 주입에 의한 반도체 디바이스의 제조를위한 시스템 및 방법
US20070178678A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
JP2009540533A (ja) 2006-06-12 2009-11-19 セムイクウィップ・インコーポレーテッド 蒸発装置
WO2008058049A2 (en) 2006-11-06 2008-05-15 Semequip, Inc. Ion implantation device and method of semiconductor manufacturing by the implantation of molecular ions containing phosphorus and arsenic
US7919402B2 (en) * 2006-12-06 2011-04-05 Semequip, Inc. Cluster ion implantation for defect engineering

Also Published As

Publication number Publication date
US20110306193A1 (en) 2011-12-15
TW200731360A (en) 2007-08-16
JP2009518869A (ja) 2009-05-07
US7666771B2 (en) 2010-02-23
KR101455564B1 (ko) 2014-10-27
EP1958245B1 (en) 2013-10-16
JP2014160856A (ja) 2014-09-04
WO2007070321A3 (en) 2007-11-29
US8097529B2 (en) 2012-01-17
EP1958245A2 (en) 2008-08-20
JP5911528B2 (ja) 2016-04-27
EP2469584A1 (en) 2012-06-27
US20070148888A1 (en) 2007-06-28
US20090286367A1 (en) 2009-11-19
TWI424477B (zh) 2014-01-21
EP1958245A4 (en) 2009-11-18
CN101313395A (zh) 2008-11-26
WO2007070321A2 (en) 2007-06-21
KR20080077354A (ko) 2008-08-22
CN101313395B (zh) 2013-03-27
US8530343B2 (en) 2013-09-10
KR101455404B1 (ko) 2014-10-27
KR20130133913A (ko) 2013-12-09

Similar Documents

Publication Publication Date Title
JP5583344B2 (ja) 炭素クラスターの注入により半導体デバイスを製造するためのシステムおよび方法
US7642150B2 (en) Techniques for forming shallow junctions
US8586459B2 (en) Ion implantation with molecular ions containing phosphorus and arsenic
US20080305598A1 (en) Ion implantation device and a method of semiconductor manufacturing by the implantation of ions derived from carborane molecular species
US8598025B2 (en) Doping of planar or three-dimensional structures at elevated temperatures
US20080242066A1 (en) Method Of Manufacturing Semiconductor
US20020187614A1 (en) Methods for forming ultrashallow junctions with low sheet resistance
US7875125B2 (en) Method for extending equipment uptime in ion implantation
US20110034014A1 (en) Cold implant for optimized silicide formation
US20100015788A1 (en) Method for manufacturing semiconductor device
Goto et al. Decaborane (B/sub 10/H/sub 14/) ion implantation technology for sub-0.1-/spl mu/m PMOSFET's
KR100212010B1 (ko) 반도체 소자의 트랜지스터 제조방법
Chang et al. High Mass Molecular Ion Implantation
KR100272173B1 (ko) 이온주입 손상이 제거된 반도체 소자의 셸로우정션 형성방법
Rubin et al. Molecular implants for advanced devices

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080903

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080903

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111007

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120313

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120613

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120620

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120912

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130402

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130628

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130705

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130731

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130807

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130830

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130906

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131002

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20131225

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140423

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140604

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140626

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140716

R150 Certificate of patent or registration of utility model

Ref document number: 5583344

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees