JP5531353B2 - ポリシラザンを用いたリバーストーン画像の形成のためのハードマスク方法 - Google Patents

ポリシラザンを用いたリバーストーン画像の形成のためのハードマスク方法 Download PDF

Info

Publication number
JP5531353B2
JP5531353B2 JP2011548793A JP2011548793A JP5531353B2 JP 5531353 B2 JP5531353 B2 JP 5531353B2 JP 2011548793 A JP2011548793 A JP 2011548793A JP 2011548793 A JP2011548793 A JP 2011548793A JP 5531353 B2 JP5531353 B2 JP 5531353B2
Authority
JP
Japan
Prior art keywords
photoresist
polysilazane
coating
underlayer
alkyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011548793A
Other languages
English (en)
Other versions
JP2012517612A (ja
Inventor
アブダラー・デイビッド・ジェイ
ダンメル・ラルフ・アール
祐輔 高野
リ・ジン
和則 黒澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Merck Performance Materials IP Japan GK
Original Assignee
AZ Electronic Materials IP Japan Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AZ Electronic Materials IP Japan Co Ltd filed Critical AZ Electronic Materials IP Japan Co Ltd
Publication of JP2012517612A publication Critical patent/JP2012517612A/ja
Application granted granted Critical
Publication of JP5531353B2 publication Critical patent/JP5531353B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、リバーストーンハードマスク画像形成方法を用いたデバイス上に微細パターンを形成する方法に関する。
フォトレジスト組成物は、コンピュータチップ及び集積回路の製造などの微細化された電子部品の製造のためのマイクロリソグラフィプロセスに使用されている。これらのプロセスでは、一般的に、先ずフォトレジスト組成物のフィルムの薄い被膜を、集積回路の製造に使用するケイ素ウェハなどの基材上に供する。次いで、このコーティングされた基材をベークして、フォトレジスト組成物中の溶剤を蒸発させて、被膜を基材上に定着させる。基材上にコーティングされたフォトレジストは次に放射線による像様露光に付す。
この放射線露光は、コーティングされた表面の露光された領域において化学的な変換を引き起こす。可視光線、紫外線(UV)、電子ビーム、極端紫外線(euv)及びX線放射エネルギーが、現在マイクロリソグラフィプロセスに常用されている放射線種である。この像様露光の後、コーティングされた基材は場合によりベークし、次いで現像剤溶液で処理して、フォトレジストの放射線露光された領域(ポジ型フォトレジスト)または未露光の領域(ネガ型フォトレジスト)のいずれかを溶解、除去する。
ポジ型フォトレジストは、放射線に像様露光された時、放射線に曝された領域のフォトレジスト組成物が現像剤溶液に対しより可溶性になり、他方、放射線に曝されていない領域は現像剤溶液に比較的不溶性のまま残る。フォトレジストの画像形成された部分を処理するためポスト露光ベークを使用してもよい。露光されたポジ型フォトレジストを現像剤で処理すると、被膜の露光された領域が除去されて、フォトレジスト被膜にポジ型の像が形成する。この場合もまた、下にある表面の所望の部分が裸出される。
半ミクロン(μm)未満の幾何形状が必要とされる場合には、約100nm〜約300nmの短波長に感度を示すフォトレジストがしばしば使用される。特に好ましいものは、非芳香族系ポリマー、光酸発生剤、場合により溶解防止剤、塩基クエンチャ及び溶剤を含む、200nm未満、例えば193nm及び157nmに感度を示す深紫外線(deep uv)フォトレジストである。四分の一ミクロン未満の幾何形状を有する画像をパターニングするためには、高解像度の化学増幅型深紫外線(100〜300nm)ポジ型フォトレジストが利用できる。
基材上にマスクパターンを形成するためにフォトレジストが使用され、そしてこの基材は、更に、開口領域においてエッチングされ、基材上にパターンが形成される。しかし、フォトレジストを用いて基材に非常に狭くかつ深いトレンチまたはホールを供する必要がある。また、ポジ型フォトレジストを用いたハードマスクパターニングが、基材上に高解像度パターンを与えることが見出された。
本発明は、基材上にリバーストーンパターンが形成されるようにデバイス上にパターンを形成する方法に関するものであり、該方法は、フォトレジスト上にコーティングされたポリシラザンの被膜を有するフォトレジストパターンを使用し、前記のポリシラザン被膜は、基材中にパターンをエッチングするための前駆体ハードマスクとして働く。ポリシラザン調合物は、フォトレジストとは相溶性ではない溶剤を使用するため、パターン化されたフォトレジストは施用中に溶解せず、そのためフォトレジストパターンは、ポリシラザンコーティングステップの前に不溶化処理ステップを必ずしも使用としない。但し、不溶化ステップを使用してもよい。ポリシラザンフィルムは、加工中に酸化ケイ素ハードマスクに転化される。有利なことに、フォトレジストパターン上にポリシラザンをコーティングする前に、パターン化されたフォトレジストを処理する必要はない。ケイ素ハードマスク技術は、非常に深くかつ狭いトレンチまたはホールを基材に形成することを可能にする。
米国特許出願第11/872,962号明細書 米国特許出願第12/060,307号明細書 米国特許出願第12/115,776号明細書 米国特許第6,686,124号明細書 米国特許第6,737,492号明細書 米国特許出願公開第2003/0204035号明細書 米国特許第4,491,628号明細書 米国特許第5,350,660号明細書 米国特許第5,843,624号明細書 米国特許第6,866,984号明細書 米国特許第6,447,980号明細書 米国特許第6,723,488号明細書 米国特許第6,790,587号明細書 米国特許第6,849,377号明細書 米国特許第6,818,258号明細書 米国特許第6,916,590号明細書 米国特許出願公開第2009/0042148号明細書 米国特許出願公開第2007/0015084号明細書 米国特許第6,767,641号明細書 米国特許第5,292,830号明細書 米国特許第5,922,411号明細書 米国特許第7,344,603号明細書 米国特許第4,965,058号明細書 米国特許第4,818,611号明細書 米国特許第4,869,858号明細書
本発明は、デバイス上でリバーストーン画像を形成する方法であって、
a) 任意選択的に、吸光性有機下層(underlayer)を基材上に形成し;
b) 前記下層または基材上にフォトレジストのコーティングを形成し;
c) フォトレジスト層中にフォトレジストパターンを形成し;
d) フォトレジストパターン上に、ポリシラザンコーティング組成物からポリシラザンコーティングを形成し、ここで、ポリシラザンコーティングはフォトレジストパターンよりも厚く、更にここで、ポリシラザンコーティング組成物は、ケイ素/窒素ポリマー及び有機コーティング溶剤を含み;
e) ポリシラザンコーティングをエッチングして、少なくとも、フォトレジストパターンの上端が現れる高さまでポリシラザンコーティングを除去し; 及び
f) フォトレジスト、場合により及びフォトレジストの下にある下層をドライエッチングして除去し、フォトレジストパターンが存在していた所の下に開口を形成する、
ことを含む前記方法に関する。
本発明は更に、上記方法の製造物、及び上記方法を用いることから製造される微細電子デバイスに関する。
図1は、任意選択の下層コーティング(1)(層1)を有する基材(0)を示す。 図2は、下層(1)(層1)のコーティング及びフォトレジスト(2)(層2)を有する基材(0)を示す。 図3は、下層(1)及び基材(0)上の画像形成されたフォトレジスト(2)を示し、ここでDは、フォトレジスト図形の幅を示す。 図4は、フォトレジストパターン(2)、下層(1)及び基材(0)上にコーティングされたポリシラザンケイ素層(3)(層3)を示す。 図5は、ポリシラザン層が、下層(1)及び基材(0)の上にあるフォトレジストパターン(2)とほぼ同じ厚さとなりそしてフォトレジストパターンの上端が現れるところまで、ポリシラザン層(3)がエッチバックされたところを示す。 図6は、下層(1)及び基材(0)の上の、フォトレジストパターンの除去の後にハードマスクに転化されたリバーストーンポリシラザンコーティング(3)を示す。 図7は、ポリシラザン層(3)、下層(1)、基材(0)及びグルーブ(4)を含む、基材のエッチングのためにポリシラザン層中の画像を下層に転写した後のリバーストーン下層ハードマスクパターンを示す。ここで、Dは、エッチング後のケイ素ハードマスクに形成された実際のグルーブ(4)の幅を示す。 図8は、(矢印の方向に)エッチバック(EB)過剰露光を強めていくことから生ずるプロフィルを示し、フォトレジストパターン中への異なる程度のエッチングが示されている。 図9は、ポリシラザンSiHM(ケイ素ハードマスク)のCUL(炭素下層)エッチングについてのVASE(多入射角分光エリプソメトリー)検査を示し、ここで(t)は下層エッチング時間(秒)を示し、そしてFTは膜厚(オングストローム(A)、0.1nm)を示す。 図10は、酸化エッチングの間のSiHMポリシラザン膨潤の幾何学モデルを示し、ここで(P)はピッチを示し、(T)はトレンチ幅を示し、(CDPR)はフォトレジストのクリティカルディメンジョンを示し、そして(d)は、IRT−SiHM2C(ケイ素ハードマスク2の画像露呈三層系(Image Reveal Trilayer)が8.2%膨潤することを示している。
Figure 0005531353
ITL例における予期される収縮量
ライン・ツー・トレンチ(Line to trench),10nm
ピラーズ・ツー・コンタクツ(Pillars to contacts),14nm
[発明の詳細な説明]
本発明は、Si/O/N/Hガラスまたはケイ素ハードマスクに転化でき、そうして酸化ドライエッチングに耐性のあるハードマスクを形成するポリシラザンコーティングを用いる方法を含むリバーストーン多層画像形成方法を用いて、電子デバイス、特に微細電子デバイス上に微細パターンを画像形成する発明的方法に関する。また、本発明は、該発明方法を用いて製造される製造物に関し、更に該発明方法から製造される微細電子デバイスに関する。
具体的には、本発明は、デバイス上にリバーストーン画像を形成する方法であって、
a) 任意選択的に、基材上に吸光性有機下層(1)を形成し;
b) 上記下層または基材上にフォトレジスト(2)のコーティングを形成し;
c) フォトレジスト層中にフォトレジストパターンを形成し;
d) 上記フォトレジストパターン上に、ポリシラザンコーティング組成物からポリシラザンコーティング(3)を形成し、ここで、ポリシラザンコーティングは、フォトレジストパターンの膜厚よりも厚い膜厚を有し、更にここで、ポリシラザンコーティング組成物は、ケイ素/窒素ポリマー及び有機コーティング溶剤を含み;
e) ポリシラザンコーティングをエッチングして、少なくとも、フォトレジストパターンの上端が現れる高さまでポリシラザンコーティングを除去し; そして
f) ドライエッチングを行い、フォトレジスト、及びフォトレジストの下にある任意選択の下層を基材に至るまで除去し、それによって、フォトレジストパターンが存在していた所の下に開口またはグルーブ(4)を形成する、
ことを含む上記方法に関する。
図1〜8は、該リバーストーンケイ素ハードマスクを形成する本発明方法を簡略して記載するものである。一つの態様では、図1に示すように、吸光性下層コーティング(1)の比較的厚い層を基材(0)上に形成する。この下層は、次いで、図2に示すように、ポジ型フォトレジスト層(2)でコーティングする。他の態様では、フォトレジストを、基材上に直接コーティングしてもよい。そのため、フォトレジストは、下層上または基材上にコーティングされる。次いで、フォトレジストフィルムをパターン化する。パターン化は、図3に示すように、フォトレジストコーティングを像様露光及び現像してフォトレジストパターンを形成するステップを含むことができる。図4に示すように、ポリシラザン組成物からケイ素層(3)を形成して、パターン化領域のフォトレジストパターンの膜厚よりも厚い膜厚を与え、それによって、本質的に、平坦化されたポリシラザン層を形成しそしてフォトレジストパターンを被覆する。ポリシラザン層は、場合により、慣用の方法によりベークして溶剤を除去するか(例えばホットプレートまたは炉)あるいは恒湿器(humidity chamber)中でベークしてポリシラザンを、ハードマスクとして機能し得る高度に酸化されたケイ素フィルムに転化する。次いで、ポリシラザン層(3)を、第一のエッチングプロセスステップを用いてエッチバックして、少なくともフォトレジストパターンの厚さとおおよそ同じ高さまでポリシラザン層を減らし、そうしてフォトレジストパターンの上端を曝露する(図5)。すなわち、今や、フォトレジスト表面は更なる処理のために裸出されている。フォトレジストパターンの上端は曝露され、そしてフォトレジストが存在していない部分はケイ素コーティングで被覆されている。任意選択的に、第一のエッチングステップは、フォトレジストが部分的にもしくは完全に除去されそしてフォトレジストが存在していない部分はケイ素コーティングで被覆される状態まで更に延長することができる。この延長された第一のエッチバックステップは図8に示されており、この場合、エッチング時間はフォトレジスト中のグルーブの幅を広くするために延ばされる。そのため、ポリシラザンまたは転化されたポリシラザンコーティング(3)は完全には除去されず、それ故、下層が存在する次の酸化第二エッチングステップのためのケイ素ハードマスクとして機能し得る。フォトレジストは第一エッチングステップで除去して開口を形成するかまたは第二のエッチングステップで除去することができる。第二エッチングステップは、フォトレジスト及び/または下層の一つもしくはそれ以上の有機層を除去する環境での異方性のドライエッチングであるが、ケイ素コーティング(3)は本質的に除去されずそして第二のまたは次のエッチングステップのためのハードマスクとして機能し得る。図6はフォトレジストが除去されたところを示す。エッチングは、図7に示すように、フォトレジストと下層の両方が除去されてグルーブ(4)が形成されるまで更に進めることができる。そうして、フォトレジストパターンを除去しそしてフォトレジストが存在していた所の下にグルーブを形成することによってリバーストーンパターンが形成される。第二のエッチングステップは、更に、第2のドライエッチングステップにおいてポリシラザンフィルムをSiO富化Si/O/N/Hコーティング(3)に転化することができ(このような転化が事前に行われていない場合)、そうして有機下層の更なるエッチングのためのケイ素ハードマスクを形成するケイ素コーティングのパターンを形成する(図7)。その代わりに、下層が存在していない場合には、フォトレジストは上記のように除去されて、基材上にポリシラザンを残す。第二の酸化ドライエッチングステップでのフォトレジストの除去の間、ポリシラザンは膨張して、フォトレジスト寸法よりも狭い開口を形成する。すなわち開口部を収縮(シュリンク)させる。下層が存在する態様では、次いで下層を、パターン化されたケイ素ハードマスクの使用下に第二ドライエッチングプロセスによってパターン化することができ、そうして基材上のポジ型フォトレジストパターンに対して深いリバーストーンパターンが形成される。深いトレンチ(グルーブ)(4)は、ポジ型フォトレジストパターンがあった所の下にケイ素/下層コーティング中に形成される、すなわちリバーストーンハードマスクが形成される。フォトレジスト及び下層は、別々のドライエッチングステップでエッチングしてもよいし、またはフォトレジスト及び下層は両方とも、酸素及び/または水素を含む酸化性ガスでエッチング可能な高炭素質有機材料であるため一つの連続的なドライエッチングステップでエッチングしてもよい。基材は、更に、ケイ素/下層パターンをハードマスクとして使用してエッチングを行って、基材に所望の高解像度の開口を形成する。
該ポリシラザン組成物は、フォトレジストと不相溶性の溶剤を含み、よってパターン化されたフォトレジストは施用中に溶解せず、均一なコーティングが得られる。それで、フォトレジストパターンは、ポリシラザンコーティングステップの前に常に不溶化処理ステップを必要とするわけではない。しかし、ポリシラザンでコーティングする前のフォトレジストの凍結(freezing)を、ベークの間に起こり得るフォトレジスト表面とポリシラザン表面との相互混合の程度を制御するために行ってもよい。ポリシラザンコーティングのベークは溶剤の除去を助ける。一つの態様では、図8に示されるように、フォトレジストパターンの側壁でのフォトレジストとポリシラザンコーティングとの相互混合は、第一のエッチングステップを制御することによってケイ素ハードマスクの最終の開口に変化を起こすために有益であり得る。酸化エッチングの間に、ポリシラザンフィルムは、パターン転写エッチングプロセスの最中にケイ素酸化物ハードマスクに部分的に変わる。そのため、ケイ素ハードマスクは、フォトレジスト及び/または下層は除去される一方で、エッチングされない。本発明の方法の一つの態様では、本明細書に記載の方法は、ポリシラザンのコーティングの前に、フォトレジストの不溶化、凍結または架橋ステップを含まない。
下層コーティングが形成される基材は、半導体工業において典型的に使用されるもののうちの任意のものであることができる。適当な基材には、限定はされないが、ケイ素、金属表面で被覆されたケイ素基材、銅で被覆されたケイ素ウェハ、銅、アルミニウム、ポリマー性樹脂、二酸化ケイ素、金属、ドープされた二酸化ケイ素、窒化ケイ素、オキシ窒化ケイ素、石英、フューズドシリカ、サファイヤ、有機ポリマー、ほうケイ酸塩ガラス、タンタル、ポリシリコン、セラミック、アルミニウム/銅混合物; ヒ化ガリウム、及び他のこのような第III/V族化合物などが挙げられる。基材は、上記の材料から作られた任意の数の層を含むことができる。コーティングは、無機系、有機系またはこれらの混合物であることができる。基材は、集積回路またはMEMSデバイスに有用なものであることができる。
下層コーティング(図1〜7の層(1))が基材上に形成される場合には、これは、典型的には、有機系のスピンコート可能な反射防止コーティング組成物である。下層組成物は、吸光性下層用ポリマー及び有機溶剤を含む。該組成物は、更に、熱酸発生剤、架橋剤、光酸発生剤、界面活性剤、第二の有機ポリマー、及びこれらの混合物から選択される添加剤を含み得る。該組成物は、露光放射線を吸収する吸光性ポリマー、架橋剤及び熱酸発生剤を含み得る。下層の厚さは、下層の上にコーティングされるフォトレジストの厚さよりも厚い。該下層の一つの態様では、下層は、固形物の80重量%超の炭素含有率を有する。このような高炭素含有コーティングは、2008年10月16日に出願された米国特許出願第11/872,962号明細書(特許文献1)、2008年4月1日に出願された米国特許出願第12/060,307号明細書(特許文献2)、2008年5月6日に出願された米国特許出願第12/115,776号明細書(特許文献3)、米国特許第6,686,124号明細書(特許文献4)、米国特許第6,737,492号明細書(特許文献5)及び米国特許出願公開第2003/0204035号明細書(特許文献6)に記載されている。これらの文献の内容の全ては本明細書に掲載されたものとする。
下層用ポリマーの一つの態様では、該ポリマーは、ポリマーの主鎖に側基として結合した少なくとも二つの縮合環の発色団、例えばナフチル及び/またはアントラシル基を有するアクリレート系ポリマーであることができる。モノマー性単位は、9−アントラセニルメチルメタクリレート、2−ヒドロキシプロピルメタクリレート、アセトキシエチルメタクリレート、n−ブチルメタクリレート及びそれらの等価物などのモノマーから誘導し得る。一例は、ポリ(9−アントラセニルメチルメタクリレート/2−ヒドロキシプロピルメタクリレート/アセトキシエチルメタクリレート/n−ブチルメタクリレート)である。
下層用ポリマーの他の態様の一つでは、該ポリマーは、ポリマーの主鎖中に少なくとも三つの縮合した環を含むことができる。縮合芳香族単位は、約3〜約8個の範囲の芳香族環を有することができる。下層用ポリマーは、ポリマーの主鎖中に、三つまたはそれ以上の縮合した芳香族単位を有する少なくとも一つの単位、及びポリマーの主鎖中に、脂肪族部分を有する少なくとも一つの単位を含む。他のコモノマー性単位も存在することができ、例えば置換されているかもしくは置換されていないフェニル、または置換されているかもしくは置換されていないナフチルなどがある。一つの態様では、該ポリマーは、フェニルまたは単環式芳香族部分を含まないことができる。縮合芳香族環は、コーティングに吸光性を供するものであり、吸光性発色団である。該ポリマーの縮合芳香族環は、共通の結合を有して、以下の構造1〜6及びそれらの異性体によって例示される単位などの縮合環構造を形成する、置換されているかもしくは置換されていない6員の芳香族環を含むことができる。
Figure 0005531353
縮合環は、アントラセン(1)、フェナントレン、ピレン(3)、フルオランテン、クロネン(6)、トリフェニレン及びそれらの置換誘導体によって例示し得る。
縮合環は、芳香族構造中の任意の部位で下層用ポリマーの主鎖を形成することができ、そしてその結合部位はポリマー内で様々であることができる。縮合環構造は、3つ以上の結合点を有することができ、そうして分枝状オリゴマーまたは分枝状ポリマーを形成する。該下層用ポリマーの一つの態様では、縮合した芳香族環の数は3〜8の範囲であることができ、該ポリマーの他の態様では、これは、4つまたはそれ以上の縮合した芳香族環を含み、より具体的には、該ポリマーは、構造3に示されるようなピレンを含むことができる。縮合した芳香族環は、一つまたはそれ以上のヘテロ芳香族環を含んでもよく、ここでヘテロ原子は、構造7によって示されるように、窒素または硫黄であることができる。
Figure 0005531353
該下層ポリマーの一つの態様では、該ポリマーは上記の縮合芳香族単位を含み、そして更に、発色団を隔離するために、縮合芳香族単位は脂肪族炭素部分に接続される。該ポリマーの縮合した芳香族環は、置換されていないか、あるいは
一つまたはそれ以上の有機置換基、例えばアルキル、アルキルアリール、エーテル、ハロアルキル、カルボン酸、カルボン酸のエステル、アルキルカーボネート、アルキルアルデヒド、ケトンで置換されていることができる。置換基の更に別の例は、−CH−OH、−CHCl、−CHBr、−CHOアルキル、−CH−O−C=O(アルキル)、−CH−O−C=O(O−アルキル)、−CH(アルキル)−OH、−CH(アルキル)−Cl、−CH(アルキル)−Br、−CH(アルキル)−O−アルキル、−CH(アルキル)−O−C=O−アルキル、−CH(アルキル)−O−C=O(O−アルキル)、−HC=O、−アルキル−COH、アルキル−C=O(O−アルキル)、−アルキル−OH、−アルキル−ハロゲン、−アルキル−O−C=O(アルキル)、−アルキル−O−C=O(O−アルキル)、アルキル−HC=Oである。該ポリマーの一つの態様では、縮合芳香族基は、含窒素側基部を含まない。芳香族環上の置換基は、コーティング溶剤中へのポリマーの可溶性を助け得る。縮合芳香族構造上の置換基の一部は、硬化の間に熱分解するものであってもよく、そうしてこれらは、硬化したコーティング中には残留しないが、なおも、エッチングプロセスの間に有用な高炭素含有フィルムを与え得る。縮合芳香族基は、より一般的には構造1’〜6’によって表される。ここで、Rは、有機置換基、例えば水素、ヒドロキシ、ヒドロキシアルキルアリール、アルキル、アルキルアリール、カルボン酸、カルボン酸のエステルなどであり、そしてnは環上の置換基の数である。置換基数nは1〜12の範囲であることができる。典型的には、nは1〜5の範囲であることができ、ここで、Rは、水素を除いて、アルキル、ヒドロキシ、ヒドロキシアルキル、ヒドロキシアルキルアリール、アルキルアリール、エーテル、ハロアルキル、アルコキシ、カルボン酸、カルボン酸のエステル、アルキルカーボネート、アルキルアルデヒド、ケトンなどの基から独立して選択される置換基である。置換基の更に別の例は、−CH−OH、−CHCl、−CHBr、−CHOアルキル、−CH−O−C=O(アルキル)、−CH−O−C=O(O−アルキル)、−CH(アルキル)−OH、−CH(アルキル)−Cl、−CH(アルキル)−Br、−CH(アルキル)−O−アルキル、−CH(アルキル)−O−C=O−アルキル、−CH(アルキル)−O−C=O(O−アルキル)、−HC=O、−アルキル−COH、アルキル−C=O(O−アルキル)、 −アルキル−OH、−アルキル−ハロゲン、−アルキル−O−C=O(アルキル)、−アルキル−O−C=O(O−アルキル)、アルキル−HC=Oである。
Figure 0005531353
該ポリマーは、ここに記載の縮合芳香族構造の二種以上を含むことができる。
上記の縮合芳香族単位に加えて、反射防止コーティングの下層用ポリマーは、更に、ポリマーの主鎖中に、本質的に脂肪族の部分を有する少なくとも一つの単位を含み、この部分は、ポリマーの主鎖を形成する非芳香族系構造を有する任意のものであり、例えば、主に炭素/水素非芳香族要素であるアルキレンなどである。
該ポリマーは、ポリマー中に脂肪族主鎖のみを形成する少なくとも一つの単位を含むことができ、そして該ポリマーは、−(A)−及び−(B)−の単位を含むものと記載することができ、ここでAは、前述の任意の縮合芳香族単位であり、これは線状もしくは分枝状であることができ、Bは脂肪族主鎖しか有さない。Bは、更に、置換されているかもしくは置換されていないアリールまたはアラルキル側基を有することができるかあるいは接続して分枝状ポリマーを形成することができる。ポリマー中のアルキレン脂肪族要素は、線状、分枝状、環状またはこれらの混合物である要素から選択し得る。複数のタイプのアルキレン単位がポリマー中に存在してもよい。アルキレン主鎖単位は、幾つかの側基、例えばヒドロキシ、ヒドロキシアルキル、アルキル、アルケン、アルケンアルキル、アルキルアルキン、アルキン、アルコキシ、アリール、アルキルアリール、アラルキルエステル、エーテル、カーボネート、ハロゲン(例えばCl、Br)などを有してもよい。側基はポリマーに有用な性質を与え得る。側基の一部は硬化の間に熱により脱離して、例えば架橋または脱離を介して不飽和結合を形成して、高炭素含有量を有するポリマーを与え得る。ヒドロキシアダマンチレン、ヒドロキシシクロヘキシレン、オレフィン性環状脂肪族要素などのアルキレン基が該ポリマーの主鎖中に存在し得る。これらの基は、架橋ステップの間にポリマーを架橋するための架橋部位も与え得る。例えば上述のものなどのアルキレン要素上の側基は、有機溶剤、例えば該組成物のコーティング溶剤またはエッジビードリムーバに有用な溶剤中へのポリマーの溶解性を高め得る。脂肪族コモノマー性単位のより具体的な基は、アダマンチレン、ジシクロペンチレン及びヒドロキシアダマンチレンによって例示される。異なるまたは同一のアルキレン基が互いに接続してブロックを形成することができ、そしてこのブロック単位は、縮合芳香族環を含む単位に接続することができる。幾つかの場合には、ブロックコポリマーを形成でき、幾つかの場合にはランダムコポリマーを形成でき、他の場合には交互コポリマーを形成し得る。該コポリマーは、少なくとも二つの異なる脂肪族コモノマー性単位を含むことができる。該コポリマーは、少なくとも二つの異なる縮合芳香族要素を含むことができる。一つの態様では、該ポリマーは、少なくとも二つの異なる脂肪族コモノマー性単位、及び少なくとも二つの異なる縮合芳香族要素を含むことができる。本発明の他の態様の一つでは、該ポリマーは、少なくとも一つの縮合芳香族単位、及び芳香族類を含まない一つまたはそれ以上の脂肪族単位を含む。脂肪族基を有する単位の一つの態様では、シクロアルキレン基は、ビスシクロアルキレン基、トリスシクロアルキレン基、テトラシクロアルキレン基から選択され、ここで、ポリマー主鎖への結合は環状構造を介し、そしてこれらの環状構造は、単環式構造、二環式構造または三環式構造のいずれかを形成する。第二のポリマーの他の態様の一つでは、該ポリマーは、主鎖中に、縮合芳香族環を有する単位及び脂肪族要素を含む単位を含み、ここで脂肪族要素は、置換されていないアルキレンと置換されたアルキレンとの混合物であり、ここで置換基は、ヒドロキシ、カルボン酸、カルボン酸エステル、アルキルエーテル、アルコキシアルキル、アルキルアリール、エーテル、ハロアルキル、アルキルカーボネート、アルキルアルデヒド、ケトン及びこれらの混合物であることができる。
該下層用ポリマーの他の態様の一つでは、これは、ポリマーの主鎖中に、三つまたはそれ以上の縮合した芳香族環を有する少なくとも一つの単位、ポリマーの主鎖中に、脂肪族要素を有する少なくとも一つの単位、及び置換されたフェニル、置換されていないフェニル、置換されていないビフェニル、置換されたビフェニル、置換されたナフチル及び置換されていないナフチルから選択される基を含む少なくとも一つの単位を含む。三つまたはそれ以上の芳香族単位を有する縮合芳香族環、及び脂肪族要素は、本明細書に記載のものである。該ポリマーは、一つの態様では、含窒素側基を含まないことができる。上記のフェニル、ビフェニル及びナフチル上の置換基は、極性溶剤、例えば乳酸エチル、プロピレングリコールモノメチルエーテルアセテート(PGMEA)及びプロピレングリコールモノメチルエーテル(PGME)中へのポリマーの溶解性を高める少なくとも一つの極性基であることができる。置換基の例は、ヒドロキシ、ヒドロキシアルキル、ハライドなどである。上記のフェニル、ビフェニルまたはナフチル基は、主鎖の一部を形成してもよいし、あるいはポリマー主鎖に直接または連結基を介して、例えばアダマンチル基、エチレン基などを介して結合してもよく、ここでモノマー性単位の例は、ヒドロキシスチレン、フェノール、ナフトール及びヒドロキシナフチレンなどのモノマーから誘導し得る。ポリマー主鎖中へのフェノール及び/またはナフトール要素の導入は、高い炭素含有率を有するフィルムに好ましい。前記の置換されたフェニレン、置換されていないフェニレン、置換されていないビフェニレン、置換されたビフェニレン、置換されたナフチレンまたは置換されていないナフチレンの量は、ポリマー中約5モル%〜約50モル%、またはポリマー中約20モル%〜約45モル%の範囲であることができる。更にフェノール及び/またはナフトール基を含む本発明のポリマーを含む組成物は、組成物のコーティング溶剤がPGMEAであるかまたはPGMEAとPGMEとの混合物である場合に有用である。フェノール及び/またはナフトール基を更に含む本発明のポリマーを含む組成物は、過剰の組成物をエッジビードリムーバで除去すべき場合、特にエッジビードリムーバがPGMEAを含むかまたはPGMEAとPGMEとの混合物を含む場合にも有用である。乳酸エチルを含む他のエッジビードリムーバも使用し得る。一つの態様では、該組成物は、ポリマー主鎖中の三つまたはそれ以上の縮合した芳香族環を有する少なくとも一つの単位、ポリマーの主鎖中の脂肪族部分を有する少なくとも一つの単位、及びフェノール、ナフトール及びこれらの混合物から選択される基を含む少なくとも一つの単位を含む。縮合芳香部分としてピレンを使用し得る。該組成物は、更に、PGMEAを含む溶剤を含むことができる。本明細書に記載のように他の添加剤を該組成物中に使用し得る。
下層用ポリマーの重量平均分子量は、約1,000〜約50,000、または約1300〜約20,000の範囲であることができる。該ポリマーの炭素含有率は、元素分析によって測定して75%超、または80%超であるか、または85%超である。反射防止コーティング組成物の炭素含有率は、該組成物の固形分の元素分析により測定して、75%超または80重量%超、または85重量%超である。高炭素材料は、下層のより高速なドライエッチングを可能とし、そうしてより厚手のハードマスク層を基材上に残すことを可能にする。有機系でかつスピンコート可能な、下層として機能し得る他の既知のタイプの吸光性反射防止コーティングも使用できる。炭素含有率が80%重量%超の吸光性反射防止コーティングフィルムが有用である。
任意の適当な下層を本発明に使用し得る。下層は、約150nm〜約800nmの範囲の被膜を有し得る。正確な厚さは、所望のエッチングプロセスのタイプによって決定される。下層の屈折率(n)は、典型的には、その上にコーティングされるフォトレジストの範囲内であり、そしてドライリソグラフィ及び液浸リソグラフィ用に、特に193nm及び248nm用に約1.6〜約1.85の範囲であることができる。吸光値(k)は、下層の膜厚に依存して約0.1〜約0.3の範囲であり、典型的には低吸光性材料と称される。n及びk値は、エリプソメータ、例えばJ.A.Woollam WVASE VU−32TMエリプソメータを用いて計算できる。k及びnの最適な範囲の正確な値は、使用される露光波長及び施用のタイプに依存する。
反射防止下層コーティング組成物は、当業者には周知の技術を用いて基材上にコーティングされ、このような方法には例えばディプコート法、スピンコート法またはスプレーコート法などがある。更に、コーティングは、残留溶剤を除去し、そして架橋を誘発及び反射防止膜を不溶化して、反射防止コーティングとそれの上にコーティングされるフォトレジスト層との相互混合を防ぐのに十分な長さの時間、ホットプレートまたは熱対流炉で加熱する。好ましい温度範囲は約90℃〜約280℃である。
ポジ型フォトレジスト層(図2〜5の層(2))は下層上に形成するかまたは基材上にコーティングする。使用される特定のフォトレジストは、半導体工業において使用される任意のタイプのものであることができる。典型的には、フォトレジスト及び反射防止下層コーティング中の光活性化合物は、実質的に、像形成プロセスに使用される露光波長を吸収するものである。一般的に、ネガ型フォトレジストと比べてポジ型フォトレジストの方がより高い解像パターンを供し、そしてより一般に入手可能であるため、ポジ型フォトレジストが好ましい。
本方法は、特に、深紫外線露光に適している。典型的には、化学増幅型フォトレジストが使用される。これらはポジ型フォトレジストであることができる。これまで、微細化に大きな進展をもたらした幾つかの主要な放射線露光技術があり、これらは、248nm、193nm、157nm及び13.5nmの放射線である。248nm用のフォトレジストは、典型的には、置換されたポリヒドロキシスチレン及びそれのコポリマー/オニウム塩、例えば米国特許第4,491,628号明細書(特許文献7)及び米国特許第5,350,660号明細書(特許文献8)に記載のものなどに基づく。他方、200nm未満の露光用のフォトレジストは、芳香族類がこの波長で不透明なために非芳香族系ポリマーを必要とする。米国特許第5,843,624号明細書(特許文献9)及び米国特許第6,866,984号明細書(特許文献10)は、193nm露光用に有用なフォトレジストを開示している。一般的に、200nm未満の露光用のフォトレジストには、脂肪環式炭化水素を含むポリマーが使用される。脂肪環式炭化水素は、多くの理由からポリマー中に組み込まれる。主には、これらは耐エッチング性を向上する比較的高い炭素:水素比を有し、またこれらは低い波長において透明性も供し、更にまたこれらは比較的高いガラス転位温度を有するからである。米国特許第5,843,624号明細書(特許文献9)は、無水マレイン酸と不飽和環状モノマーとを遊離基重合することによって得られるフォトレジスト用ポリマーを開示している。193nm用フォトレジストの既知のタイプの任意のものを使用でき、例えば米国特許第6,447,980号明細書(特許文献11)及び米国特許第6,723,488号明細書(特許文献12)に記載のものなどがある。これらの特許文献の内容は本明細書中に掲載されたものとする。
フルオロアルコール側基を有するフッ素化ポリマーに基づき157nmに感度を示すフォトレジストが、この波長で実質的に透明であることが知られている。157nmフルオロアルコールフォトレジストの一つの部類は、フッ素化ノルボルネンなどの基を含むポリマーから誘導され、金属触媒重合またはラジカル重合を用いて単独重合されるかまたは他の透明モノマー、例えばテトラフルオロエチレンと共重合される(米国特許第6,790,587号明細書(特許文献13)及び米国特許第6,849,377号明細書(特許文献14)。一般的に、これらの材料はより高い吸光性を与えるが、それらの高い脂肪環式含有率の故に良好な耐プラズマエッチング性を有する。より最近になって、別の部類の157nmフルオロアルコールポリマーが開示されており、そのポリマー主鎖は、非対称性ジエン、例えば1,1,2,3,3−ペンタフルオロ−4−トリフルオロメチル−4−ヒドロキシ−1,6−ヘプタジエンの環状重合(米国特許第6,818,258号明細書(特許文献15))、またはフルオロジエンとオレフィンとの共重合(米国特許第6,916,590号明細書(特許文献16))から誘導される。これらの材料は157nmで許容可能な吸光性を与えるが、フルオロノルボルネンポリマーと比較してそれらの低い脂肪環式含有率の故に、耐プラズマエッチング性に劣る。これらの二つの部類のポリマーは、上記の最初のタイプのポリマーの高い耐エッチング性と、後のタイプのポリマーの157nmでの高い透明性との間のバランスを図るためにしばしばブレンドできる。13.5nmの極端紫外線(EUV)を吸収するフォトレジストも有用であり、当技術分野で知られている。365nm及び436nmに感度を示すフォトレジストも使用し得る。現在、193nm及びEUVフォトレジストが好ましい。インプリンティング技術に有用なフォトレジストも使用し得る。
フォトレジスト組成物の固形成分は、該フォトレジストの固形成分を溶解する溶剤または溶剤混合物と混合する。該フォトレジスト用の適当な溶剤には、例えばグリコールエーテル誘導体、例えばエチルセロソルブ、メチルセロソルブ、プロピレングリコールモノメチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジプロピレングリコールジメチルエーテル、プロピレングリコールn−プロピルエーテル、またはジエチレングリコールジメチルエーテル; グリコールエーテルエステル誘導体、例えばエチルセロソルブアセテート、メチルセロソルブアセテート、またはプロピレングリコールモノメチルエーテルアセテート; カルボキシレート類、例えばエチルアセテート、n−ブチルアセテート及びアミルアセテート; 二塩基性酸のカルボキシレート類、例えばジエチルオキシレート及びジエチルマロネート; グリコール類のジカルボキシレート類、例えばエチレングリコールジアセテート及びプロピレングリコールジアセテート; 及びヒドロキシカルボキシレート類、例えば乳酸メチル、乳酸エチル、グリコール酸エチル、及びエチル−3−ヒドロキシプロピオネート; ケトンエステル類、例えばピルビン酸メチルまたはピルビン酸エチル; アルコキシカルボン酸エステル類、例えばメチル3−メトキシプロピオネート、エチル3−エトキシプロピオネート、エチル2−ヒドロキシ−2−メチルプロピオネート、またはメチルエトキシプロピオネート; ケトン誘導体、例えばメチルエチルケトン、アセチルアセトン、シクロペンタノン、シクロヘキサノンまたは2−ヘプタノン; ケトンエーテル誘導体、例えばジアセトンアルコールメチルエーテル; ケトンアルコール誘導体、例えばアセトールまたはジアセトンアルコール; ケタール類もしくはアセタール類、例えば1,3ジオキサラン及びジエトキシプロパン; ラクトン類、例えばブチロラクトン; アミド誘導体、例えばジメチルアセトアミドまたはジメチルホルムアミド、アニソール、及びこれらの混合物などが挙げられ得る。混合物もしくは単独で使用される、使用し得るフォトレジスト用の典型的な溶剤は、限定はされないが、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、プロピレングリコールモノメチルエーテル(PGME)、及び乳酸エチル(EL)、2−ヘプタノン、シクロペンタノン、シクロヘキサノン、及びガンマブチロラクトンであるが、PGME、PGMEA及びELまたはこれらの混合物が好ましい。一般的に、毒性が低く、良好なコーティング性及び可溶性を有する溶剤が好ましい。
該方法の一つの態様では、193nmに感度のあるフォトレジストが使用される。該フォトレジストは、ポリマー、光酸発生剤、及び溶剤を含む。該ポリマーは、水性アルカリ性現像剤中に不溶性の(メタ)アクリレートポリマーである。このようなポリマーは、脂肪環式(メタ)アクリレート、メバロノラクトンメタクリレート、2−メチル−2−アダマンチルメタクリレート、2−アダマンチルメタクリレート(AdMA)、2−メチル−2−アダマンチルアクリレート(MAdA)、2−エチル−2−アダマンチルメタクリレート(EAdMA)、3,5−ジメチル−7−ヒドロキシアダマンチルメタクリレート(DMHAdMA)、イソアダマンチルメタクリレート、ヒドロキシ−1−メタクリルオキシアダマンタン(HAdMA)などのモノマーの重合から誘導される単位を含み得る。少なくとも一つのラクトン基を含むフォトレジストポリマーが好ましい。
該フォトレジストは、更に、塩基性クエンチャ、界面活性剤、染料、架橋剤などの添加剤を含むことができる。有用なフォトレジストは、更に、米国特許出願公開第2009/0042148号明細書(特許文献17)、及び2007年1月18日に公開された米国特許出願公開第2007/0015084号明細書(特許文献18)に例示されている。これらの文献の内容は本明細書に掲載されたものとする。
コーティングプロセスの後、フォトレジストを、当業界において既知のようにパターン化する。パターニングは、放射線源を用いた像様露光及び現像を含み得る。露光は、特定の露光源に典型的な露光装置を用いて行うことができる。フォトレジストはポスト露光ベークすることができる。露光されたフォトレジストは次いで水性現像剤中で現像して、処理されたフォトレジストを除去する。現像剤は、好ましくは水性アルカリ性溶液、例えばテトラメチルアンモニウムヒドロキシド(TMAH)を含む水性アルカリ性溶液である。現像剤は更に一種またはそれ以上の界面活性剤を含むことができる。任意選択の加熱ステップを、現像の前及び露光の前にプロセスに組み入れることができる。フォトレジストのコーティング及び像形成方法は当業者に周知であり、使用される特定のタイプのフォトレジストに合わせて最適化される。典型的には、フォトレジストの厚さは、193nm露光では約50nm〜約400nmの範囲である。フォトレジストパターンは、使用するフォトレジストによって決定される。
次いでフォトレジストパターンを、有機ポリシラザンのフィルムでコーティングする。一般的に、ポリシラザンは、ケイ素、窒素及び炭素要素を含むポリマーである。ポリシラザンはSi−N結合を含む。時折、ポリヒドロシラザンは、少なくとも一つのSi−H及び/またはN−H結合を追加的に含むポリシラザンを指すのに使用される。ポリシラザンには、ケイ素、窒素、水素及び炭素要素を含むポリヒドロシラザンが含まれる。ポリシラザンにはポリヒドロシラザンも包含される。ポリシラザンは、Si−N結合の線状単位、Si−N結合の環状単位及びこれらの混合物から選択される単位を含む。ポリシラザンの一例は、以下の一般式(8)で表される構造を含む。
Figure 0005531353
式中、R、R、及びRは、それぞれ独立して、水素及び有機基、例えばアルキル、アルケニル、シクロアルキル、アリール、アラルキル、フルオロアルキル、アルキルシリル基、アルキルアミノ基及びアルコキシ基から選択され、nは整数である。該ポリマーの一つの態様では、該ポリマーは、R、R及びRのうちの少なくとも一つが水素原子であることを条件に、構造(8)の単位を含む。該ポリマーの数平均分子量は、約100〜約50,000であることができる。上記の基中のアルキルは、炭素原子数1〜10、または炭素原子数1〜6の範囲であることができる。ポリシラザンの一つの態様は、一般式(9)で表される構造単位を有する線状構造を含むパーヒドロポリシラザンである。
Figure 0005531353
式中、nは整数である。線状部分は3〜10個のSiNHを含むことができ、そして化学分析によって測定される次の元素割合、すなわちSi:40または59〜70または61重量%、N: 25または31〜45または34重量%、及びH:5または6.5〜15または7.5重量%を有し得る。固形分として該フィルムのケイ素含有率は、約40〜約70重量%、または約40〜約61重量%、または約59〜約70重量%の範囲であることができる。パーヒドロポリシラザンは、600〜10,000の範囲の数分子量を有し得る。パーヒドロポリシラザンは、任意の所望の方法で製造できるものであることもでき、そして基本的には、その分子内に線状鎖部分及び環状部分を含むことができ、そして化学式(10)の単位によって表し得る。
Figure 0005531353
式中、a、b及びcは、各単位の比率である。
線状及び環状単位を含むパーヒドロポリシラザンの一例は、以下の構造(11)に与えられる。
Figure 0005531353
本発明に従い有用なポリシラザンの更なる例には、一般式(8)で表されるポリオルガノ(ヒドロ)シラザンが挙げられ、ここで
(i)構造(8)において: R及びRが水素原子を表し、そしてRが上述の有機基を表すポリシラザン; 繰り返し単位として−(RSiNH)−を含みかつ主として3〜5の重合度を有する環状構造を有するポリシラザン; 化学式(RSiHNH)[(RSiH)1.5N]1−x(0.4<x<1)によって表されかつ同時にそれの分子内に鎖構造及び環状構造を有するポリシラザン;
(ii)一般式(8)によって表され、Rが水素原子を表し、R及びRが上記の有機基を表す、ポリシラザン; 及び
(iii)繰り返し単位として−(RSiNR)−(式中、R及びRは有機基であり、そしてRは水素原子を表す)を含みかつ主として3〜5の重合度を有する環状構造を有するポリシラザン。
前記有機基は、上述のようにアルキル、アルケニル、シクロアルキル、アリール、フルオロアルキル、アルキルシリル基、アルキルアミノ基及びアルコキシ基によって例示される。
一般式(8)によって表されるもの以外の有機ポリシラザンには、例えば、一般式(12)によって表される架橋された構造を分子中に有するポリオルガノ(ヒドロ)シラザン、
Figure 0005531353
SiX(X:ハロゲン)の加アンモニア分解によって生成される架橋された構造を有するポリシラザン; 及びRSi(NH)もしくはRSiX及びR SiX(X及びXはそれぞれ独立して水素及び有機基、例えばアルキル、アルケニル、シクロアルキル、アリール、アラルキル、フルオロアルキル、アルキルシリル基、アルキルアミノ基及びアルコキシ基から選択され、そしてxは1、または2または3の範囲の整数である)の共加アンモニア分解によって生成される構造(8及び13)を有するポリシラザンが挙げられる。上記基中のアルキルは炭素原子数1〜10または炭素原子数1〜6の範囲であることができる。
Figure 0005531353
他のポリシラザンには、[(SiH(NH)]及び[(SiHO](式中、n、m及びrはそれぞれ独立して1または2、または3である)で表される繰り返し単位を含むポリシラザン; メタノールなどのアルコールまたはヘキサメチルジシラザンをパーヒドロポリシラザンの末端N原子に付加することによって製造される変性ポリシラザンなどが挙げられる。
更に別の他のポリシラザンには、変性ポリシラザン、インターポリシラザン、低温でセラミックにされかつポリシラザンからセラミックへの転化を加速するために触媒化合物が添加または混合されたポリシラザン; ケイ素アルコキシドが添加されたポリシラザン; グリシドールが添加されたポリシラザン; アセチルアセトネート錯体が添加されたポリシラザン; 及びアミン及び/または酸を上記のタイプのポリシラザンまたはこれらの変性物に加えることによって製造されたポリシラザン組成物などが挙げられる。
ポリシラザン化合物及びそれらの使用は、米国特許第6,767,641号明細書(特許文献19)、米国特許第5,292,830号明細書(特許文献20)、米国特許第5,922,411号明細書(特許文献21)、米国特許第7,344,603号明細書(特許文献22)及び米国特許第4,965,058号明細書(特許文献23)、米国特許第4,818,611号明細書(特許文献24)及び米国特許第4,869,858号明細書(特許文献25)に例示されている。これらの特許文献の内容は本明細書に掲載されたものとする。このようなポリマーは、一般的に、上記文献に記載のように、ジハロシラン及び塩基を反応させて、ジハロシランの付加物を生成し、次いでこの付加物をアンモニアと反応させることによって製造され得る。スチレンを標準としてゲル透過クロマトグラフィによって測定した重量平均分子量は、約1,000〜約20,000、または約1,500〜約15,000の範囲であることができる。
ポリシラザンはコーティング溶剤中に溶解させる。溶剤は、ポリシラザンを溶解し、またポリシラザン溶液が上にコーティングされるフォトレジストパターンを溶解しないようなものである。更に、溶剤は、ポリシラザンと反応するべきではない。溶剤の例は、ハロゲン化された炭化水素、例えば塩化メチレン、クロロホルム、四塩化炭素、ブロモホルム、塩化エチレン、塩化エチリデン、トリクロロエタン及びテトラクロロエタン; エーテル類、例えばエチルエーテル、イソプロピルエーテル、エチルブチルエーテル、ブチルエーテル、ジブチルエーテル、1,2−ジオキシエタン、ジオキサン、ジメチルジオキサン、テトラヒドロフラン、及びテトラヒドロピラン; 及び他の炭化水素、例えばペンタン、ヘキサン、イソヘキサン、メチルペンタン、ヘプタン、イソヘプタン、オクタン、デカリン、イソオクタン、シクロペンタン、メチルシクロペンタン、シクロヘキサン、メチルシクロヘキサン、ベンゼン、トルエン、キシレン及びエチルベンゼンである。複数種の溶剤の混合物も使用し得る。ポリシラザンの濃度は、適当なコーティング厚さを与えるために調節される。一般的に、ポリマーの含有率は、全組成物の約0.01〜約30重量%、または約0.3〜約10重量%または約0.3〜約5重量%である。界面活性剤、レベリング剤または可塑剤などの他の添加剤を加えてもよい。
ポリシラザン溶液は、スピンコート法、浸漬法、スプレー法などの任意のコーティング技術を用いてフォトレジストパターン上にコーティングされる。コーティングはベークして溶剤を除去する。コーティングのベークは、慣用の装置、例えばホットプレート加熱、オーブン加熱、チャンバ加熱を用いて周囲またはガス状環境中で行うことができる。このベークステップのための温度は、約10秒〜30分間で約50℃〜約250℃、好ましくは約70℃〜約150℃の範囲であることができる。ベークは恒湿器中で行ってもよく、そうしてポリシラザンの酸化を誘発してポリシラザンフィルムのSiO含有量を高め、ケイ素ハードマスクを形成することができる。酸化的加熱も行うことができる。その条件は、要求される性質を与えるよう最適化される。転化後、フィルムの組成は元素分析によりおおよそ次ようになり得る:固形フィルムのSi:40〜60重量%、N:0〜30重量%、O:0〜55重量%及びH:0〜10重量%。転化後、転化されたフィルムは、転化度に依存して0.005〜約10または5%(原子濃度)の範囲の窒素を含む。ポリシラザンコーティングステップの後に追加のベークステップを含ませてもよく、これはフォトレジスト中の架橋及び/またはパターンの緻密化を誘発し得る。この追加のベークステップは約190℃〜約250℃の温度範囲であることができる。緻密化は向上されたパターンプロフィルを与え得る。ポリシラザンケイ素層の厚さは、フォトレジストパターンよりも厚く、そしてパターンを完全に覆って、かなりフラットで平坦化された層を形成する。ケイ素フィルムの厚さは50nm〜約1ミクロンの範囲であることができる。平坦化層を形成し得るケイ素組成物が好ましい。パターン領域中のケイ素層の厚さ(Anm)は、フォトレジストパターンの高さ(Bnm)を覆うのに十分なものである必要がある、すなわちA>Bである。フォトレジストパターン(B)の厚さは約50nm〜約200nmの範囲であることができる。ケイ素層の厚さ(A)は、フォトレジスト層の厚さ及びエッチングプロセスに依存して約70nm〜約300nmの範囲であることができる。AとBとの差異は約5nm〜約50nmの範囲である。
層(1)及び任意選択に(2)及び(3)により多層システムが形成された後、最初のフッ素エッチングを行うために基材をドライエッチングチャンバ中に置き、この際、フルオロカーボン及び/またはフッ素化炭化水素ガス、例えばCF、CF/O2、6、8、または酸素を含むかまたは含まない他のCFガス混合物が、ポリシラザンケイ素コーティングをエッチバックするために使用される。ポリシラザンコーティングのエッチングのために任意の適当なフッ素エッチを使用し得る。エッチバックは、ケイ素コーティング(3)が、フォトレジストパターンの厚さに近くなり(図5)、そうしてフォトレジストパターンの上端が可視になるかまたは終点検出器によって検出される程度まで少なくとも行われ得る。またその代わりに、エッチバックステップが、有機材料に対してより高い選択性を有する場合には、該ステップは、フォトレジスト(2)が下層の上面まで除去されるまで更に進行することができ、それによって第一のエッチングステップにおいてグルーブが形成される。第一のエッチングステップは、図8に示されるように、下層または基材までフォトレジストが除去されるまでのフォトレジストの上端の出現(またはレベル)の間のどこでも停止し得、そうしてグルーブが形成される。エッチング速度及びフォトレジストに対するエッチング速度選択性は、他のガス、例えば酸素を添加することによって制御することができる。センサーがエッチングの終点を与えるか、またはエッチング速度及び除去するべきフィルムの厚さが既知であるかまたは導出される場合には時限のエッチングを使用できる。フォトレジストパターンのフォトレジスト層の深さは、第一のエッチングの時間を制御することによってエッチバックプロセスの間に除去することができる。任意の既知の条件を第一のエッチングステップに使用し得る。
第一のフッ素エッチングの後に、図7に示すように、第二の異方性エッチングが行われ、一つもしくはそれ以上の炭素質もしくは有機層、すなわちフォトレジスト及び/または下層を除去し、それによってグルーブ(4)を形成する。一つの態様では、第一のエッチングの後にフォトレジストの上面が現れたら、第二の酸化エッチングステップでフォトレジスト及び下層をドライエッチングすることができ、この際、ケイ素層はハードマスクを形成し、そうしてフォトレジストパターンのトーンが反転する(図7)。他の態様の一つでは、グルーブが第一のエッチングステップでフォトレジスト中に形成された後(図6)、第二の酸化エッチングを用いて、残留フォトレジスト及び特に下層をエッチングし、この際、ケイ素層がハードマスクを形成する。第二のエッチングの間、酸素富化環境において、ポリシラザンフィルムは更に酸化されて、シリカ含有量がより高いフィルムを形成し、これはケイ素ハードマスクとして機能し得る。この酸化的転化の後に、既に転化されていなければ、元素分析によるケイ素フィルムの組成は、おおよそ、固形フィルムのSi:40〜60重量%、N:0〜30重量%、O:0〜55重量%及びH:0〜10重量%であることができる。転化後、転化されたフィルムは、転化度に依存して0.005〜約10または5%(原子濃度)の範囲の窒素を含む。ケイ素ハードマスク(SiHM)層は、第二のエッチングのエッチング条件下に本質的に非エッチング性であり、そして炭素質層のエッチングのためのハードマスクとして働く。第二のエッチングステップには、フォトレジスト及び下層のエッチングのために酸素及び/または水素を含むガスが有用である。追加的なガス、例えばアルゴン、ヘリウム、キセノン、クリプトン、ネオン及びこれらの組み合わせを加えてもよい。ガス混合物は、性能の向上のために、更に、窒素、一酸化炭素、二酸化炭素、二酸化硫黄、BCl、HBr、Cl、及びフッ素含有ガス、例えばNF、SF、CFもしくはこれらの組み合わせを含んでもよい。第二の酸化エッチングステップには任意の既知の条件を使用し得る。フォトレジスト及び下層は、一つの連続的なプロセスでまたは二つの別個のステップで除去し得る。フォトレジスト及び/または下層のエッチングのためには異方性エッチングが好ましい。というのも、グルーブのほぼ垂直な側壁を得ることができるからである。図7のグルーブ(4)の幅(D)はケイ素ハードマスクにおける幅であり、そして或る場合には、臨界構造(critical structures)のために約10nm〜約200nmの範囲であることができる。
本発明方法の下層/ケイ素ハードマスクパターンは、所望の深さのトレンチを形成する目的で基材をドライエッチングするためにマスクとして使用することができる。本新規方法は、基材中にリバーストーン型の狭いトレンチを形成するために標準的な高解像度ポジ型フォトレジスト、特に化学増幅型フォトレジストを使用することを可能にする。ドライエッチングの方法は、当技術分野において既知なように適切な基材に合わせて最適化される。
上述の本発明のポリシラザン材料を使用した場合、グルーブ(4)の寸法Dが、像が転写されるフォトレジストパターンの寸法によって予期されるものよりも狭いことが図らずしも見出された。それで、フォトレジストパターン幅によって画定される設計されたマスク開口は狭められ、そしてある程度の量の開口の収縮によって画定できる。これは、パターン化されたフォトレジストよりもかなりより狭い開口を本新規方法によって画定することを可能にし、このことは非常に望ましいことである、というのも、電子デバイス中により小さな幾何形状を構築できるからである。理論によって拘束されるものではないが、該ポリシラザンから得られたケイ素フィルムが処理条件下に拡大もしくは成長して、エッチングされたフォトレジスト開口を狭めるものと考えられる。加えて、第一及び第二エッチングステップを制御することは、図8に示されるように、グルーブ開口の収縮を制御する方法を可能とする。すなわち図8には、第一のエッチングの増加と共に、フォトレジスト中の変化するグルーブ寸法が示されている。収縮は、フォトレジスト図形の幅(D)と、エッチング後にケイ素ハードマスクに形成される実際のグルーブ(4)の幅(D)との差異として測定される。これは、収縮率%={(D− D)/D}*100としても定義し得る。収縮率%は、約5〜約80、または約5〜約70、または約5〜約50、または約10〜約80、または約10〜約70、または約10〜約50、または約25〜約50、または約35〜約50、または約30〜約50の範囲であることができる。
他に記載がない限り、本明細書及び特許請求の範囲に記載の成分の量、分子量等の性質、反応条件などを表す全ての数値は、全ての場合において、“約”という言葉によって修飾されるものと理解されたい。上記で引用した文献はそれぞれ、全ての目的に関してその内容の全てが本明細書に掲載されたものとする。以下の具体例は、本発明の組成物を製造及び使用する方法の詳細な例示を与えるものである。しかし、これらの例は、本発明の範囲を如何様にも限定もしくは減縮することを意図したものではなく、本発明を実施するために排他的に使用しなければならない条件、パラメータまたは値を教示するものと解釈するべきものではない。
例1:下層組成物
10gのMX−270(N,N',N'',N''''−(テトラメトキシメチル)グリコリル、Sanwa Chemical Co. LTD, Hiratsuka Factory(9−24−8,Tamura Hiratsuka−city Kanagawa Pref., Japan)から入手できる架橋剤、90gの70/30ポリ(メチルメタクリレート(MMA)−co−ヒドロキシスチレン(HS))(DuPont Corp社, 1007 Market Street, Wilmington, Delaware, USAの70MMA/30HS)、及び40gの10%ドデシルベンジルスルホニウムトリエチルアンモニウム塩を、ArFシンナー及び860gのArFシンナー(ArFシンナーは70:30PGME:PGMEAである。AZ(登録商標)Electronic Materials USA Corp,70 Meister Ave.,Somerville,NJ,USA)中に入れることによって、下層原液を調製した。
この原液を1:1重量比でArFシンナーで希釈することによってコーティング組成物を調製した。次いで、このコーティング溶液を0.2μmPTFE(ポリテトラフルオロエチレン)フィルタに通して濾過した。
例2:フォトレジスト組成物
AZ(登録商標)AX2110P(AZ(登録商標)Electronic Materials USA Corp,70 Meister Ave.,Somerville,NJ,USAから入手できる193nmフォトレジスト)を、1:1重量比で、AZ(登録商標)ArF MPシンナー(AZ(登録商標)Electronic Materials USA Corp, 70 Meister Ave.,Somerville,NJ,USAから入手可能)で希釈した。次いでこのコーティング溶液を0.2μmPTFEフィルタに通して濾過した。
例3:ポリシラザン組成物
300ml四つ首フラスコに、ガス導入チューブ、機械的攪拌機及びDewar冷却器を装備した。この反応器を、酸素不含の乾燥窒素でパージした。150mlの脱ガスした無水ピリジンをこのフラスコ内に入れ、そして氷で冷却した。16.1gのジクロロシランをこれに添加して、白色の固形付加物(SiHCl・2CN)を生成した。この反応混合物を氷で冷却した。15.9gのアンモニア(ソーダ石灰チューブ及び活性炭チューブに通して精製したもの)と窒素ガスとの混合物をこのフラスコ中に導入した。反応の完了後、固形生成物を、遠心分離、その後の濾過によって取り除いた。溶剤を、減圧下(50℃、5mmHg、2時間)で濾液から除去して、ガラス様固形物の形の5.62gのポリシラザンポリマーを得た。分子量は、GPC(ゲル透過クロマトグラフィー)で930/1862(Mn/Mw)と測定された。Si/N比は化学分析によってSi/N=1.24と測定された。
上記からのパーヒドロポリシラザン3重量%のジブチルエーテル溶液を調製、濾過した。
例4:リバーストーンリソグラフィースタック調製
例1からの炭素下層コーティングを、8インチ(0.2032m)ケイ素ウェハ上に1500rpmでスピンコートし、そして200℃で60秒間ベークして、200nmの膜厚を得た。例2からのフォトレジスト調合物を1500rpmでコーティングし、そして100℃/60秒間ソフトベークして、90nmの膜厚を得た。このスタックを、90nmのラインスペース図形と280nmのピッチの140nmピラーとからなる格子を有し及びTEL ACT12''トラックにインターフェースで接続されたArFスキャナー(Nikon NSR−306D: NA=0.85,ダイポールY照明,0.8s,a/R=0.63,レチクル:6%HTPSM(高透過性ハーフトーン位相シフトマスク))で過剰露光し、そしてAZ300MIF(テトラメチルアンモニウムヒドロキシドに基づく。AZ(登録商標)Electronic Materials USA Corp, 70 Meister Ave.,Somerville,NJから入手可能)で23℃で30秒間、現像した。これらの層を、110℃で60秒間、ポスト露光ベークした。ウェハの走査電子顕微鏡(SEM)写真からの断面は、140nmチェッカーボードマスクパターンを輪帯照明(0.82アウターシグマ、0.43インナーシグマ)で過剰露光することによってパターン化された、135nmスペースの45nmラインの図形及び280nmピッチの100nmピラーが、過剰露光モードで簡単に解像されたことを示した。
例3からのポリシラザン調合物を、パターン化されたフォトレジスト上に2000rpmのスピンスピードでコーティングし、次いで60秒、90℃でベークして、SEMによって測定して、パターン化された領域中の下層上に120nmの膜厚を得た。
例5: 第一エッチバックステップの最適化
パターン転写及びエッチング速度測定
全ての画像反転三層(IRT)材料はAZ Electronic Materialsで調製した。SiHM1(ケイ素ハードマスク1)は、PGMEA中のシルセスキオキサン樹脂からなり、最適化ステップに使用した。炭素下層(CUL)(例1、炭素含有率70%)。CULの光学指数を、VASE(多入射角分光エリプソメータ)分析を用いて測定し、193nmでnが1.56、kが0.43であった。
エッチングレシピを、ISM(Inductively Super Magnetron)技術を用いてULVAC NE−5000Nエッチャーで最適化した。デュアル13.56MHzRF電源は、励起種の発生が基材バイアスから部分的に分離することを可能にした。永久磁場は、ガス分子との電子の衝突を高める軌道に電子を閉じ込めることによって高プラズマイオン密度を発生させた。ウェハ温度は、266Paヘリウム裏面冷却を用いて25℃に一定に維持した。
エッチバック(EB)レシピ最適化は、プロセスを制御するための適当なエッチング時間を生じさせる様々なO/CFガス比率及び電離能をスクリーニングすること、並びにケイ素ハードマスクコーティングと比べて有機フィルムを優先的に除去するエッチング選択性を与えることを必要とした。エッチング最適化は、表1に記載のSiHM1及びCULのブランケットコーティングを用いて行った。次いで、エッチング速度を、エッチング時間で除した膜厚の差を取ることによって計算した。
有機選択性エッチング(表1、エントリーC)を選択することによって、X−SEMにより特定のエッチバック時間後のパターンの観察によって簡単に終点を明らかにすることができる。フォトレジスト上の過剰のSiHMフィルムが除去されると、フォトレジストで覆われた領域に窪みが生ずる。第一のエッチングには異方性エッチングは必要ではないので、低いウェハ出力設定が使用された。しかし、エッチバック(EB)ステップの過剰エッチングの間にSiHMの側面エッチングを制御することによって、スペースのサイズを変えることができる。
Figure 0005531353
例6:パターン転写
過剰のポリシラザンフィルムをフォトレジスト表面に至るまで除去するために、リバーストーンリソグラフィスタックを有するウェハを、先ず、15秒間のポリシラザンエッチバックステップに付した。これは、表1の例Cに記載の他のプラズマ条件により、1:1CF/Oエッチングガスコンビネーションを用いて達成した。このステップは、フォトレジスト図形の中央に40nmのチャネルを開口した。酸素富化第二エッチングを用いた次のエッチングは、ポリシラザンをSi、O、N複合ケイ素ハードマスクフィルムに転化することによって、これを硬化した。下層パターン転写エッチングステップは、他のプラズマ条件により15秒間のOエッチングを用いて達成した。ここでエッチング条件は表2に記載のものである。ケイ素ハードマスク中のグルーブ開口Dを測定した。
最終のエッチングパターンは、ポジ型フォトレジストパターンの反転画像であり、そしてまたこれは、反転画像を用いたフォトレジストパターンよりもかなり厚くかつより耐エッチング性が高いパターンであった。よって、反転画像のフォトレジストパターンよりも基材中へのより良好なパターン転写を可能にした。
Figure 0005531353
例7
例6の上記のプロセスを、様々な第一及び第二エッチング時間を用いて、そうして開口Dを制御することによって繰り返した。
画像反転三層プロセスを用いたパターン転写は、フォトレジスト図形幅を凹型のハードマスク画像幅に代える。凹型ハードマスク図形幅における追加の収縮が、非常に小さな凹型様構造のプリントの解像を高めるのに使用できるパターン転写プロセスに付随することがわかった。収縮は、主に第一エッチバック(EB)ステップによって制御される。EB過剰露光の度合いを変化させることによって、凹型図形における収縮度を制御することができる。収縮は、フォトレジストパターンの幅DとSiHMの幅Dとの差から測定される。EB過剰エッチングの様々な量及びSiHM収縮に対するそれの影響の例を以下の表3に纏める。これは表2に記載のエッチングレシピを使用するものである。
Figure 0005531353
ピラーの元々のフォトレジスト寸法Dは96nmである。収縮は、フォトレジストライン(またはピラー)の寸法Dから最終のSiHMトレンチ幅D(またはコンタクトホール)を差し引いた差として計算される。100%の収縮率は、層中にグルーブが開口しなかったことを意味した。
図8は、開口を制御するために第一エッチングステップを強めた場合のフォトレジストのエッチングプロフィルを示す。
SiHMポリシラザンを使用した際、SiHM壁をフルオロカーボンエッチングに曝さない終点でエッチングを停止すると(過剰エッチング無し)、最も高い収縮(約70%)が観察された。更なる過剰露光はフォトレジストを除去し、そして相互混合されたSiHM壁化領域を浸食し、そして制御可能な範囲のSiHM開口を形成した。EBステップによるフォトレジストの完全な除去及びかなりのCUL浸食の後でさえ、10〜15%の収縮がなおも観察された。それゆえ、EBステップにおける過剰エッチングを低減させることによって増強できる収縮が常に存在する。収縮の更なる最適化は、水平なエッチングを減少させそしてCUL浸食が異方性であることを保証するためにEBプロセスの異方性の性質を向上させることを必要とし得る。エッチングを終点で停止した場合には、SiHMポリシラザンフィルムに大きい収縮は観察されない。
例8
図9は、SiHMのCULエッチングに関してのVASE検証を示す。
図10は、酸化エッチングの間のSiHMポリシラザン膨潤の幾何モデルを示す。
酸化エッチング下でのケイ素ハードマスクフィルムの拡大は収縮機序も導く。エッチングの前と後のSiHMのブランケットコーティングに対する膜厚測定を、エリプソメトリ分析を用いて行った(図9)。エッチングはケイ素フィルムの化学組成を変化させるので、膜厚及び光学指数の両方を、各々のフィルムをエッチングした後に測定する必要がある。エッチングの後にSiHMに存在し得る非均一性を検証するために多くのフィルムモデルを適用したが。全ての場合において、見出された最良のモデルフィットは、組成が均一なフィルムと一致するジェネリックオシレータ(generic oscillator,GENOSC)モデル(VASEエリプソメータと共に入手可能)を用いたものであった。膜厚(FT)測定は、最初の5秒間にフィルムの拡大があったことを明らかにした。5秒を超える延長したエッチングを用いた観察可能な変化は、分散曲線に関してバルクフィルムでははっきりとはしなかった。
SiHMの一定の物理的アブレーションは下層エッチングにわたり常に存在する。このことは、図9における5秒超でのFT動向に明らかである。初期の膜厚の増加は、プラズマによってフィルムが酸化されることに起因する。これは、10秒間未満後には本質的に完結する迅速なプロセスのようである。アブレーションによる浸食を補った後に、フィルムの計算された拡大はSiHM膜厚の8.2%に相当する。これは、均一で一様な成長を仮定する。水平方向の膨潤が、図10のモデルに基づいて同じであると考えると、フォトレジストCD(クリティカルディメンジョン)の低減またはピッチの増大のいずれかがより大きな空間収縮を導くものと期待される。なぜならば、空間に対するSiHMの割合がいずれの場合でもより大きいからである。上記の例のパターンを用いた計算されたCD変化は、SiHMの最小の収縮が使用された場合を考慮した時、観察された値に非常に近似する。

Claims (13)

  1. デバイス上にリバーストーン画像を形成する方法であって、
    a)任意選択的に、基材上に吸光性有機下層を形成すること;
    b)基材上に、または下層が存在する場合には下層上に、フォトレジストのコーティングを形成すること;
    c)フォトレジストコーティングを像様露光にまたはインプリンティングに付すことによって、フォトレジスト図形の幅(D )を有するフォトレジストパターンを形成すること;
    d)フォトレジストパターン上に、ポリシラザンコーティング組成物からポリシラザンコーティングを形成すること、ここで、ポリシラザンコーティングはフォトレジストパターンよりも厚く、更にここで、ポリシラザンコーティング組成物は、ケイ素及び窒素含有ポリマー及び有機コーティング溶剤を含み;
    e)ポリシラザンコーティングをエッチングして、少なくとも、フォトレジストパターンの上端が現れる高さまでポリシラザンコーティングを除去すること;及び
    f)フォトレジストを、またはフォトレジストとフォトレジストの下に任意選択的に存在する下層との両方を、ドライエッチングにより除去して、フォトレジストパターンが存在していた所の下に開口(D を形成すること、
    含み、収縮率%={(D −D )/D }*100が5〜80の範囲である、上記方法。
  2. 基材をドライエッチングするステップを更に含む、請求項1の方法。
  3. 下層が存在する、請求項1または2の方法。
  4. 下層が存在しない、請求項1または2の方法。
  5. ステップf)において、ドライエッチングが、フォトレジスト及び下層を一つの連続的なステップで除去するために同じガス組成物を使用することを含む、請求項1〜3のいずれか一つの方法。
  6. ステップf)において、ドライエッチングが、先ずフォトレジストを除去し、その後に下層を除去する別個のステップが続くことを含む、請求項1〜3のいずれか一つの方法。
  7. ポリシラザンが、以下の構造(8)の少なくとも一つの単位を含む、請求項1〜6のいずれか一つの方法。
    Figure 0005531353
    [式中、R、R、及びRは、それぞれ独立して、水素、アルキル、アルケニル、シクロアルキル、アリール、フルオロアルキル、アルキルシリル基、アルキルアミノ基、アルコキシ基から選択され、そしてnは整数である]
  8. ポリシラザンが以下の構造(8)の少なくとも一つの単位を含む、請求項7の方法。
    Figure 0005531353
    [式中、R、R及びRは、それぞれ独立して、水素、アルキル、アルケニル、シクロアルキル、アリール、フルオロアルキル、アルキルシリル基、アルキルアミノ基、アルコキシ基から選択され、nは整数であり、ただしR、R及びRのうちの少なくとも一つは水素原子である]
  9. ポリシラザンが、以下の構造(9)の少なくとも一つの単位を含む、請求項8の方法。
    Figure 0005531353
    [式中、nは整数である]
  10. 下層が75重量%を超える炭素含有率を有する、請求項1〜3及び5〜9のいずれか一つの方法。
  11. 像様露光が、248nm、193nmもしくは157nmの波長を有する放射線を用いて、またはEUVを用いて、またはe−ビームを用いて行われる、請求項1〜10のいずれか一つの方法。
  12. ポリシラザンコーティングを除去するためのステップe)におけるドライエッチングガスがフルオロカーボンを含む、請求項1〜11のいずれか一つの方法。
  13. ステップf)におけるドライエッチングガスが酸素を含む、請求項1〜12のいずれか一つの方法。
JP2011548793A 2009-02-10 2009-03-30 ポリシラザンを用いたリバーストーン画像の形成のためのハードマスク方法 Expired - Fee Related JP5531353B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/368,720 2009-02-10
US12/368,720 US8084186B2 (en) 2009-02-10 2009-02-10 Hardmask process for forming a reverse tone image using polysilazane
PCT/IB2009/005145 WO2010092420A1 (en) 2009-02-10 2009-03-30 A hardmask process for forming a reverse tone image using polysilazane

Publications (2)

Publication Number Publication Date
JP2012517612A JP2012517612A (ja) 2012-08-02
JP5531353B2 true JP5531353B2 (ja) 2014-06-25

Family

ID=41129071

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011548793A Expired - Fee Related JP5531353B2 (ja) 2009-02-10 2009-03-30 ポリシラザンを用いたリバーストーン画像の形成のためのハードマスク方法

Country Status (7)

Country Link
US (1) US8084186B2 (ja)
EP (1) EP2396703B1 (ja)
JP (1) JP5531353B2 (ja)
KR (1) KR101628423B1 (ja)
CN (1) CN102308260B (ja)
TW (1) TWI515767B (ja)
WO (1) WO2010092420A1 (ja)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5058733B2 (ja) * 2007-09-12 2012-10-24 AzエレクトロニックマテリアルズIp株式会社 ケイ素含有微細パターン形成用組成物を用いた微細パターン形成方法
TWI452419B (zh) * 2008-01-28 2014-09-11 Az Electronic Mat Ip Japan Kk 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
US20090253081A1 (en) * 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US20100040838A1 (en) * 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
US20100183851A1 (en) * 2009-01-21 2010-07-22 Yi Cao Photoresist Image-forming Process Using Double Patterning
KR101715343B1 (ko) * 2009-03-11 2017-03-14 주식회사 동진쎄미켐 반도체 소자의 미세 패턴 형성 방법
US8435415B2 (en) * 2009-11-24 2013-05-07 The United States of America, as represented by the Secretary of Commerce, The National Institute of Standards and Technology Nanofabrication process and nanodevice
KR20120033903A (ko) * 2010-09-30 2012-04-09 코오롱인더스트리 주식회사 무단 벨트
JP5970197B2 (ja) * 2012-02-08 2016-08-17 メルクパフォーマンスマテリアルズマニュファクチャリング合同会社 無機ポリシラザン樹脂
CN103515415A (zh) * 2012-06-26 2014-01-15 群康科技(深圳)有限公司 叠层结构与其制造方法、以及包含其的电子装置
TWI487083B (zh) * 2012-06-26 2015-06-01 群康科技(深圳)有限公司 疊層結構與其製造方法、以及包含其之電子裝置
US20140014621A1 (en) * 2012-07-16 2014-01-16 Zhaoning Yu Analysis of pattern features
JP5842841B2 (ja) * 2013-02-18 2016-01-13 信越化学工業株式会社 パターン形成方法
TWI541611B (zh) 2013-06-26 2016-07-11 第一毛織股份有限公司 用於硬罩幕組合物的單體、包括該單體的硬罩幕組合物及使用該硬罩幕組合物形成圖案的方法
US9296922B2 (en) * 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
JP6126961B2 (ja) * 2013-09-30 2017-05-10 富士フイルム株式会社 パターン形成方法、パターンマスクの形成方法及び電子デバイスの製造方法
JP6104785B2 (ja) * 2013-12-09 2017-03-29 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ ペルヒドロポリシラザン、およびそれを含む組成物、ならびにそれを用いたシリカ質膜の形成方法
JP6371057B2 (ja) * 2013-12-27 2018-08-08 東京応化工業株式会社 パターン形成方法
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US9958779B2 (en) 2015-02-13 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist additive for outgassing reduction and out-of-band radiation absorption
US9659824B2 (en) * 2015-04-28 2017-05-23 International Business Machines Corporation Graphoepitaxy directed self-assembly process for semiconductor fin formation
JP6520490B2 (ja) * 2015-07-08 2019-05-29 信越化学工業株式会社 パターン形成方法
JP6441193B2 (ja) 2015-09-14 2018-12-19 東芝メモリ株式会社 反射型マスクの製造方法
KR101810643B1 (ko) * 2016-02-02 2017-12-19 에스케이실트론 주식회사 에피텍셜 웨이퍼의 평탄도 제어 방법
CN105928461A (zh) * 2016-04-22 2016-09-07 酒泉职业技术学院 一种精确测量超薄四面非晶体碳膜膜厚的方法
FR3057991B1 (fr) * 2016-10-21 2019-06-21 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation d’un guide d’assemblage fonctionnalise
KR102067082B1 (ko) 2017-01-19 2020-01-16 삼성에스디아이 주식회사 패턴 형성 방법 및 반도체 소자
US10305029B1 (en) 2017-11-10 2019-05-28 International Business Machines Corporation Image reversal process for tight pitch pillar arrays
KR102075891B1 (ko) 2017-11-24 2020-02-12 한국생산기술연구원 펄스 자외선을 이용한 폴리실라잔계 소재 박막의 패터닝 및 이의 제조방법
US10615037B2 (en) * 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
US11650506B2 (en) 2019-01-18 2023-05-16 Applied Materials Inc. Film structure for electric field guided photoresist patterning process
US11501969B2 (en) 2019-01-22 2022-11-15 International Business Machines Corporation Direct extreme ultraviolet lithography on hard mask with reverse tone
TWI690768B (zh) * 2019-01-25 2020-04-11 力晶積成電子製造股份有限公司 光罩的設計方法與半導體微影製程
US20210109451A1 (en) * 2019-10-11 2021-04-15 Merck Patent Gmbh Spin-on metal oxide materials of high etch resistance useful in image reversal technique and related semiconductor manufacturing processes
US11557479B2 (en) * 2020-03-19 2023-01-17 Tokyo Electron Limited Methods for EUV inverse patterning in processing of microelectronic workpieces
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
CN112086348B (zh) * 2020-08-31 2022-11-29 上海华力微电子有限公司 双重图形氧化硅芯轴制备方法
CN115688489B (zh) * 2022-12-30 2023-06-16 全芯智造技术有限公司 沟槽蚀刻工艺的仿真方法及装置、存储介质、终端

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4151313A (en) 1977-03-11 1979-04-24 Hitachi, Ltd. Method for production of printed circuits by electroless metal plating employing a solid solution of metal oxides of titanium, nickel, and antimony as a masking material
US4491628A (en) * 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
JPS62125015A (ja) * 1985-11-19 1987-06-06 Toa Nenryo Kogyo Kk 高純度窒化珪素繊維およびその製法
JPH0618885B2 (ja) * 1986-02-12 1994-03-16 東燃株式会社 ポリシロキサザンおよびその製法
US4770974A (en) * 1986-09-18 1988-09-13 International Business Machines Corporation Microlithographic resist containing poly(1,1-dialkylsilazane)
JPH025522A (ja) 1988-06-24 1990-01-10 Fujitsu Ltd パターン形成方法
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
DE69125634T2 (de) * 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
US5292830A (en) * 1991-06-20 1994-03-08 Tonen Corporation Thermosetting copolymers, silicon carbide-based fiber and processes for producing same
JPH0513384A (ja) 1991-07-02 1993-01-22 Sharp Corp 微細パターンの形成方法
JPH05205989A (ja) * 1992-01-28 1993-08-13 Hitachi Ltd リソグラフィ法及び半導体装置の製造方法
EP0578613B1 (de) 1992-07-09 2000-07-12 Ciba SC Holding AG Härtbare Suspensionen auf Basis von Epoxidharzen
JPH06216084A (ja) 1992-12-17 1994-08-05 Samsung Electron Co Ltd 半導体装置のパターン分離方法および微細パターン形成方法
JP2790163B2 (ja) * 1993-07-29 1998-08-27 富士通株式会社 シリコン酸化膜の形成方法、半導体装置の製造方法及びフラットディスプレイ装置の製造方法
JPH07130631A (ja) 1993-11-05 1995-05-19 Sanyo Electric Co Ltd パターン形成方法及びそれを利用した半導体記憶装置の製造方法
KR100307839B1 (ko) * 1995-07-13 2002-11-22 토넨제네랄세키유 가부시키가이샤 세라믹스질물질형성용조성물및세라믹스질물질의제조방법
JPH09132657A (ja) * 1995-09-04 1997-05-20 Canon Inc 基材の表面処理方法及び該方法を用いたインクジェット記録ヘッドの製造方法
KR100206597B1 (ko) 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
US5843624A (en) * 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
TW329539B (en) 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
US6808859B1 (en) * 1996-12-31 2004-10-26 Hyundai Electronics Industries Co., Ltd. ArF photoresist copolymers
US5863707A (en) * 1997-02-11 1999-01-26 Advanced Micro Devices, Inc. Method for producing ultra-fine interconnection features
US6468718B1 (en) * 1999-02-04 2002-10-22 Clariant Finance (Bvi) Limited Radiation absorbing polymer, composition for radiation absorbing coating, radiation absorbing coating and application thereof as anti-reflective coating
US6849377B2 (en) * 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
TW495494B (en) * 1998-10-05 2002-07-21 Tonengeneral Sekiyu Kk Photosensitive polysilazane composition and method of forming patterned polysilazane film
US6221562B1 (en) * 1998-11-13 2001-04-24 International Business Machines Corporation Resist image reversal by means of spun-on-glass
US6114085A (en) * 1998-11-18 2000-09-05 Clariant Finance (Bvi) Limited Antireflective composition for a deep ultraviolet photoresist
US6924339B2 (en) * 1999-03-12 2005-08-02 Arch Specialty Chemicals, Inc. Thermally cured underlayer for lithographic application
US6790587B1 (en) * 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
KR100310252B1 (ko) * 1999-06-22 2001-11-14 박종섭 유기 반사방지 중합체 및 그의 제조방법
JP4831909B2 (ja) * 1999-11-30 2011-12-07 ブルーワー サイエンス アイ エヌ シー. 反射防止ポリマーコーティングに使用する非芳香族発色団
TWI225184B (en) * 2000-01-17 2004-12-11 Shinetsu Chemical Co Chemical amplification type resist composition
US6686124B1 (en) * 2000-03-14 2004-02-03 International Business Machines Corporation Multifunctional polymeric materials and use thereof
JP5020425B2 (ja) * 2000-04-25 2012-09-05 Azエレクトロニックマテリアルズ株式会社 微細溝をシリカ質材料で埋封する方法
US7053005B2 (en) * 2000-05-02 2006-05-30 Samsung Electronics Co., Ltd. Method of forming a silicon oxide layer in a semiconductor manufacturing process
KR100362834B1 (ko) * 2000-05-02 2002-11-29 삼성전자 주식회사 반도체 장치의 산화막 형성 방법 및 이에 의하여 제조된 반도체 장치
WO2001098834A1 (fr) * 2000-06-21 2001-12-27 Asahi Glass Company, Limited Composition de reserve
US6368400B1 (en) 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
US6447980B1 (en) * 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
AU8500701A (en) * 2000-08-17 2002-02-25 Shipley Co Llc Etch resistant antireflective coating compositions
US20020155389A1 (en) * 2000-10-24 2002-10-24 Bharath Rangarajan Inverse resist coating process
KR100374642B1 (ko) * 2000-11-27 2003-03-04 삼성전자주식회사 반도체 소자의 층간절연막 형성방법
US6773872B2 (en) * 2000-12-29 2004-08-10 Shipley Company, L.L.C. Reduction of inorganic contaminants in polymers and photoresist compositions comprising same
CN1221861C (zh) * 2001-02-09 2005-10-05 旭硝子株式会社 光致抗蚀剂组合物
US6303524B1 (en) * 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
US6927266B2 (en) * 2001-02-22 2005-08-09 Nissan Chemical Industries, Ltd. Bottom anti-reflective coat forming composition for lithography
KR100419962B1 (ko) * 2001-03-07 2004-03-03 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
JP3912288B2 (ja) * 2001-03-21 2007-05-09 ダイキン工業株式会社 無機・有機複合材料からなる表面処理剤
JP2002296791A (ja) * 2001-04-02 2002-10-09 Toshiba Corp パターン形成方法
JP3462485B2 (ja) 2001-07-19 2003-11-05 株式会社半導体先端テクノロジーズ 微細レジストパターンの形成方法
TW591341B (en) * 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
US6723488B2 (en) * 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
JP3479648B2 (ja) * 2001-12-27 2003-12-15 クラリアント インターナショナル リミテッド ポリシラザン処理溶剤およびこの溶剤を用いるポリシラザンの処理方法
US6780569B1 (en) 2002-02-04 2004-08-24 Lam Research Corporation Post-development treatment of patterned photoresist to promote cross-linking of polymer chains
US6894104B2 (en) * 2002-05-23 2005-05-17 Brewer Science Inc. Anti-reflective coatings and dual damascene fill compositions comprising styrene-allyl alcohol copolymers
US7217491B2 (en) * 2002-06-07 2007-05-15 Battelle Memorial Institute Antireflective coatings
JP2004101849A (ja) 2002-09-09 2004-04-02 Mitsubishi Gas Chem Co Inc 洗浄剤組成物
US7323289B2 (en) * 2002-10-08 2008-01-29 Brewer Science Inc. Bottom anti-reflective coatings derived from small core molecules with multiple epoxy moieties
ES2407704T3 (es) * 2002-11-01 2013-06-13 Az Electronic Materials Usa Corp. Solución de revestimiento que contiene polisilazano
JP2004179254A (ja) * 2002-11-25 2004-06-24 Renesas Technology Corp 半導体装置の製造方法
KR100503527B1 (ko) * 2003-02-12 2005-07-26 삼성전자주식회사 퍼하이드로 폴리실라잔을 포함하는 반도체 소자 제조용조성물 및 이를 이용한 반도체 소자의 제조방법
JP3884415B2 (ja) * 2003-07-22 2007-02-21 株式会社東芝 パターン形成方法及び半導体装置の製造方法
JP4206022B2 (ja) * 2003-09-30 2009-01-07 パナソニック株式会社 パターン形成方法
KR100645458B1 (ko) * 2003-10-02 2006-11-13 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
JP4485241B2 (ja) * 2004-04-09 2010-06-16 Azエレクトロニックマテリアルズ株式会社 水溶性樹脂組成物およびそれを用いたパターン形成方法
JP4491283B2 (ja) * 2004-06-10 2010-06-30 信越化学工業株式会社 反射防止膜形成用組成物を用いたパターン形成方法
WO2006065321A1 (en) * 2004-12-17 2006-06-22 Dow Corning Corporation Method for forming anti-reflective coating
JP4578993B2 (ja) * 2005-02-02 2010-11-10 Azエレクトロニックマテリアルズ株式会社 ポリシラザン処理溶剤およびこの溶剤を用いるポリシラザンの処理方法
KR100674967B1 (ko) 2005-04-06 2007-01-26 삼성전자주식회사 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법
US7521170B2 (en) * 2005-07-12 2009-04-21 Az Electronic Materials Usa Corp. Photoactive compounds
US8153350B2 (en) * 2005-08-24 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and material for forming high etch resistant double exposure patterns
KR100688570B1 (ko) * 2005-08-31 2007-03-02 삼성전자주식회사 식각 마스크 패턴 형성용 코팅 조성물 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
US7528200B2 (en) * 2006-02-01 2009-05-05 Ardes Enterprises, Inc. Epoxy hardener systems based on aminobis(methylene-ethyleneurea)
US7704670B2 (en) * 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US20070298349A1 (en) * 2006-06-22 2007-12-27 Ruzhi Zhang Antireflective Coating Compositions Comprising Siloxane Polymer
JP4869811B2 (ja) * 2006-07-19 2012-02-08 東京応化工業株式会社 微細パターンの形成方法
JP5138916B2 (ja) * 2006-09-28 2013-02-06 東京応化工業株式会社 パターン形成方法
WO2008059440A2 (en) 2006-11-14 2008-05-22 Nxp B.V. Double patterning for lithography to increase feature spatial density
JP2010511915A (ja) * 2006-12-06 2010-04-15 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド 二重パターン形成プロセスを利用した装置製造プロセス
US20080160459A1 (en) * 2006-12-28 2008-07-03 Benjamin Szu-Min Lin Method of forming a pattern
KR100876783B1 (ko) * 2007-01-05 2009-01-09 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8026040B2 (en) * 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
JPWO2008114644A1 (ja) 2007-03-16 2010-07-01 Jsr株式会社 レジストパターン形成方法及びそれに用いるレジストパターン不溶化樹脂組成物
US20100108639A1 (en) * 2007-03-30 2010-05-06 Pioneer Corporation Imprinting mold and method of producing imprinting mold
US7923200B2 (en) * 2007-04-09 2011-04-12 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern comprising a lactam
JP5069494B2 (ja) * 2007-05-01 2012-11-07 AzエレクトロニックマテリアルズIp株式会社 微細化パターン形成用水溶性樹脂組成物およびこれを用いた微細パターン形成方法
JP5003279B2 (ja) * 2007-05-21 2012-08-15 Jsr株式会社 反転パターン形成方法
US8017296B2 (en) * 2007-05-22 2011-09-13 Az Electronic Materials Usa Corp. Antireflective coating composition comprising fused aromatic rings
JP2009025815A (ja) 2007-06-20 2009-02-05 Fujifilm Corp パターン形成用表面処理剤、及び該処理剤を用いたパターン形成方法
US7758981B2 (en) * 2007-07-25 2010-07-20 Hitachi Global Storage Technologies Netherlands B.V. Method for making a master disk for nanoimprinting patterned magnetic recording disks, master disk made by the method, and disk imprinted by the master disk
US20090042148A1 (en) * 2007-08-06 2009-02-12 Munirathna Padmanaban Photoresist Composition for Deep UV and Process Thereof
JP5058733B2 (ja) * 2007-09-12 2012-10-24 AzエレクトロニックマテリアルズIp株式会社 ケイ素含有微細パターン形成用組成物を用いた微細パターン形成方法
US8313571B2 (en) * 2007-09-21 2012-11-20 Microchem Corp. Compositions and processes for manufacturing printed electronics
US7935477B2 (en) * 2007-11-30 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench
TWI452419B (zh) * 2008-01-28 2014-09-11 Az Electronic Mat Ip Japan Kk 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
US7989144B2 (en) * 2008-04-01 2011-08-02 Az Electronic Materials Usa Corp Antireflective coating composition
US20090253081A1 (en) * 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US7981592B2 (en) * 2008-04-11 2011-07-19 Sandisk 3D Llc Double patterning method
US7932018B2 (en) * 2008-05-06 2011-04-26 Az Electronic Materials Usa Corp. Antireflective coating composition
US20100040838A1 (en) * 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US20100183851A1 (en) * 2009-01-21 2010-07-22 Yi Cao Photoresist Image-forming Process Using Double Patterning

Also Published As

Publication number Publication date
CN102308260A (zh) 2012-01-04
KR20110118781A (ko) 2011-11-01
EP2396703A1 (en) 2011-12-21
US8084186B2 (en) 2011-12-27
TW201030804A (en) 2010-08-16
WO2010092420A1 (en) 2010-08-19
CN102308260B (zh) 2013-10-23
JP2012517612A (ja) 2012-08-02
TWI515767B (zh) 2016-01-01
EP2396703B1 (en) 2015-06-10
KR101628423B1 (ko) 2016-06-08
US20100203299A1 (en) 2010-08-12

Similar Documents

Publication Publication Date Title
JP5531353B2 (ja) ポリシラザンを用いたリバーストーン画像の形成のためのハードマスク方法
TWI642698B (zh) 作為硬光罩及填充材料之穩定金屬化合物、其組合物及其使用方法
JP6810696B2 (ja) ハードマスク組成物および半導体基板上での微細パターンの形成方法
US20100040838A1 (en) Hardmask Process for Forming a Reverse Tone Image
KR101339763B1 (ko) 반사방지 하드 마스크 조성물
KR101820195B1 (ko) 반사방지 코팅 조성물 및 이의 방법
TWI786656B (zh) 在矽基板上塗佈硬遮罩組合物之方法
KR20110013374A (ko) 반사방지 코팅 조성물
JP2010529499A (ja) 反射防止ハードマスク組成物およびそれを使用した基板上の材料のパターン化方法
JP2012508910A (ja) 縮合芳香環を含む反射防止コーティング組成物
JP2013515972A (ja) 縮合芳香環を含む反射防止コーティング組成物
KR102240213B1 (ko) 고두께 스핀 온 카본 하드마스크 조성물 및 이를 이용한 패턴화 방법
KR20120004192A (ko) 레지스트 하층막용 방향족 고리 함유 화합물, 이를 포함하는 레지스트 하층막 조성물 및 이를 이용하는 소자의 패턴 형성 방법
KR100865684B1 (ko) 고 내에칭성 반사방지 하드마스크 조성물, 패턴화된 재료형상의 제조방법 및 그 제조방법으로 제조되는 반도체집적회로 디바이스
KR100783064B1 (ko) 유기실란계 화합물, 이를 포함하는 레지스트 하층막용하드마스크 조성물 및 이를 이용한 반도체 집적회로디바이스의 제조방법
KR100713238B1 (ko) 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130903

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131127

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140325

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20140402

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140403

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140402

R150 Certificate of patent or registration of utility model

Ref document number: 5531353

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees