JP5281731B2 - 集積回路デバイスと集積回路デバイスを設計するための方法及び装置 - Google Patents

集積回路デバイスと集積回路デバイスを設計するための方法及び装置 Download PDF

Info

Publication number
JP5281731B2
JP5281731B2 JP2004524962A JP2004524962A JP5281731B2 JP 5281731 B2 JP5281731 B2 JP 5281731B2 JP 2004524962 A JP2004524962 A JP 2004524962A JP 2004524962 A JP2004524962 A JP 2004524962A JP 5281731 B2 JP5281731 B2 JP 5281731B2
Authority
JP
Japan
Prior art keywords
lines
line
signal
shield
signal line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004524962A
Other languages
English (en)
Other versions
JP2005535118A (ja
JP2005535118A5 (ja
Inventor
マッケルヴェイン,ケネス・エス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Publication of JP2005535118A publication Critical patent/JP2005535118A/ja
Publication of JP2005535118A5 publication Critical patent/JP2005535118A5/ja
Application granted granted Critical
Publication of JP5281731B2 publication Critical patent/JP5281731B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5225Shielding layers formed together with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

関連出願
本出願は、発明者Kenneth S.McElvainによる「Method and Apparatus for Designing Integrated Circuit Devices with Shielding」という表題の2002年7月29日に出願された米国仮出願番号60/399,760に関するものであり、その出願日の利益を主張する。
本発明は、集積回路の設計に関するものであり、より詳細には、容量カップリングと誘導カップリングから電線をシールドすることに関するものである。
集積回路(IC)は、携帯電話、腕時計型カメラ、ハンドヘルド型オーガナイザなどの様々な応用例で使用されている。商業市場や消費者からの集積回路小型化の要求が強まるにつれて、ICサイズ要件の傾向は引き続きスモール・フォーム・ファクタ、低消費電力に向かっている。
VLSI(Very Large Scale Integration)技術とそれを超える技術に基づくデジタル回路の設計のために、設計者はコンピュータ援用技法を採用することが多い。デジタル回路を記述して複雑なデジタル回路の設計やシミュレーションの際に助けとするために、ハードウェア記述言語(HDL)などの標準言語が開発されている。VHDLやVerilogなどのいくつかのハードウェア記述言語は、業界標準として発展している。VHDLとVerilogは、汎用ハードウェア記述言語であり、これらの言語を利用することにより、抽象データ型を使用してゲート・レベル、レジスタ・トランスファ・レベル(RTL)、又はビヘイビア・レベルでハードウェア・モデルを定義することができる。デバイス技術が進歩と共に、より新しいデバイスや設計スタイルへの使用にHDLを適応させるため様々な製品設計ツールが開発されてきた。
HDLコードを使って集積回路を設計する場合、まずコードを書き、その後、HDLコンパイラによりそのコードをコンパイルする。HDLソース・コードではあるレベルで回路要素を記述し、コンパイラは、このコンパイルからRTLネット・リストを出力する。RTLネット・リストは、通常、フィールド・プログラマブル・ゲート・アレイ(FPGA)又は特定用途向け集積回路(ASIC)などの特定のベンダの集積回路の技術/アーキテクチャに依存しないという点で、技術独立のネット・リストである。RTLネット・リストは、(挙動図ではなく)回路要素の回路図に対応する。次いで、技術独立のRTLネット・リストからベンダの技術/アーキテクチャで回路を作成するために使用できる技術特有のネット・リストに変換するマッピング操作が実行される。FPGAベンダはその集積回路内にロジック回路を実装するために様々な技術/アーキテクチャを使用していることはよく知られている。したがって、技術独立のRTLネット・リストは、特定のベンダの技術/アーキテクチャに特有のネット・リストを作成するようにマッピングされる。
このプロセスでは多くの場合望ましい1つの操作で、特定の集積回路のレイアウトのプランニングを実行し、タイミング問題の制御と集積回路の領域間の相互接続の管理を行う。これは、「フロア・プランニング」と呼ばれることがある。通常のフロア・プランニング操作では、集積回路の回路エリアを「ブロック」ともいう複数の領域に分割し、その後、ロジックをそれらのブロック内に割り当てる。これらの領域は、矩形でも非矩形でもよい。この操作は2つの効果を持っている。ロジックの位置に対する推定誤差が集積回路のサイズからブロックのサイズ(タイミング推定の誤差を小さくする傾向がある)に低減されることと、1つの非常に大きな問題から一連のより単純な問題に還元されるため配置や配線が通常高速化されることである。
ICサイズが縮小化されると共に、半導体メーカーは以前よりかなり小さなレベルで回路を設計せざるをえなくなっている。以前、業界がVLSI(Very Large Scale Integration)からULSI(Ultra Large Scale Integration)に移行したときには、回路自体の相対的容量カップリングと誘導カップリングが重要な問題として理解されなかった。
しかし、半導体業界がサブミクロン・レベル以上の技術に基づいて回路を設計し、実装する場合、回路のライン間隔が10〜6ミクロン未満だと、回路自体の中の信号ラインの容量カップリングと誘導カップリングが設計者にとっては重大な問題になると理解されている。回路サイズが小さくなり、信号ラインの長さがライン幅に比べて長くなるにつれ、信号ラインとグラウンド又は電源ラインとの間のカップリング及び/又はクロストークが目立ってくる。さらに、信号ラインからグラウンド(及び/又はその他の信号ライン)へのカップリングが強くなるにつれ、与えられた信号の信号対雑音比はそれに比例して減少する。信号の容量カップリングと誘導カップリングのこの特定の問題は、この業界が発展し、回路デバイスサイズの縮小の方向に向かうと共に次第に困難になってきている(例えば、0.25ミクロン技術から0.18ミクロン、0.15ミクロンと進んで行く)。
信号対雑音比を高める方法の1つ(例えば、隣接する信号ラインからの容量カップリングと誘導カップリングにより生じる雑音に関して)は、信号駆動レベルを強めることである。信号強度を高めることにより、信号の信号対雑音比は改善される。しかし残念なことに、信号強度を高めるには、さらに、より高い出力のデバイスを備えなければならず、これは、熱問題、ポータビリティ問題、環境問題に関するICの消費電力低減の要件と矛盾する。消費電力が高くなるだけでなく、信号強度を上げても信号カップリングはなくならない。信号の強度が上がると、容量カップリングと誘導カップリングによる隣接する信号ライン内の雑音も増大する。
他に、信号ラインの間隔を広げて信号ラインの実効(R−L−C)インピーダンスを低くする方法もあるが、これは、通常、信号駆動レベルを強め、カップリングを減らし、信号対雑音比を改善する方法と併用される。一般に、信号ラインの間隔を3倍に広げると、カップリング効果は50%減少する。しかし、間隔を広げることは、回路の小型化の要件と相反する。
他に、バッファ/リピータを挿入して導線を短くし、抵抗とカップリング容量を低減する方法もある。この方法は、度を超えた数のバッファ/リピータが必要でない、信号の数が中程度の場合にうまく働く。
他に、電源電圧(例えば、VDD)又はグラウンドのいずれかを使用することにより信号ラインをシールドする方法がある。シールド・ライン(グラウンド)は幅が十分あって低インピーダンスであり、シールド・ライン自体は雑音を他の信号ラインに伝達しない。図2は、シールド・ラインと信号ラインの平面図を示している。信号ライン(例えば、ライン201又は205)は、隣接信号ラインから雑音をシールドするために、供給電圧又はグラウンドに接続されるシールド・ライン(例えば、ライン203又は207)にそって配線される。サブミクロン技術では、これらの信号ラインとシールド・ラインの長さがその幅に対して比較的長いことがある。この経路が長いと、シールド線は抵抗を持つことになり、シールド線を越えて次の隣接線へカップリングが発生し、与えられた基板上の回路内で信号対雑音比を低下させるか、又はクロストークを増やす傾向がある。
上記の方法では、面積とパフォーマンスコストが低くなるが、IC内の配線の信号整合性が保持されていることを示すために、多くの場合疑わしい、費用のかかる解析が必要になる。
本明細書では、少なくとも2つの異なる電圧の線のシールドを使用する集積回路(IC)を設計する方法と装置を説明する。
本発明の一態様では、集積回路(IC)を設計する例示的な方法は、ICの設計の表現において所望の大きさのデカップリング容量を決定し、この表現のシールド・メッシュの少なくとも1つの層に信号ラインを配線し(配線の際には所望の大きさのデカップリング容量を考慮し、デカップリング・ライン用にシールド・メッシュ内にスペースを確保する)、シールド・メッシュ内に容量デカップリング・ラインを配線し、それによってその確保されたスペースを利用することを含む。
本発明の他の態様では、例示的なICが、第1の基準電圧(例えば、VSS)を供給するように設計されている第1の複数のラインと第2の基準電圧(例えば、VDD)を供給するように設計されている第2の複数のラインを有するシールド・メッシュと、そのシールド・メッシュを通して配線される複数の信号ラインを有するIC内に少なくとも1つの層を備え、それぞれの信号ラインは第1の複数の又は第2の複数のラインのうちの少なくとも1本に隣接して配置され、第1の複数のラインのうちの少なくとも1本及び第2の複数のラインのうちの少なくとも1本は互いに隣接し、しかも介在する信号ラインはなく、それらの間に容量カップリングをもたらす。
本発明の他の態様はICを設計する方法であって、そのICの少なくとも1つの層の表現を作成し、その少なくとも1つの層が少なくとも2つの隣接する第1の複数のライン(例えば、VSSなどの第1の基準電圧を供給するように設計されている)と、少なくとも2本の隣接する第2の複数のライン(例えば、VDDなどの第2の基準電圧を供給するように設計されている)との反復パターンを有し、さらに、第1又は第2の複数のラインの少なくとも1本に隣接して配置された少なくとも1本の信号ラインの表現を作成する。この方法は、さらに、前記第1の基準電圧を印加するように設計され、第2の複数のラインのうち隣接するラインのペアの間に配線された少なくとも1本の追加ラインの表現を作成することをも含み、この追加ラインは、それ自体と隣接するラインのペアの間にデカップリング・キャパシタを形成する。
本発明の他の態様は集積回路(IC)デバイスを設計するための方法であって、第1の基準電圧に対する複数の接続線と第2の基準電圧に対する第2の複数の接続線を含む基板内でシールド・メッシュを決め、かつ第1の複数の接続線のうちの1本と第2の複数の接続線のうちの1本との間の第1の複数の信号線のそれぞれの第1の部分を隣接する信号線からシールドするように、基板内に第1の複数の信号線の第1の部分を配線する。第1の複数の信号線の第2の部分は、第1、第2の複数の接続線により決められる領域内で互いに隣接する。この領域は窓であってよい。一実施態様では、シールド・メッシュの線は、それぞれシールド・メッシュ内の3本以上の線を連結するノード間の第1、第2の複数の接続線のセグメントの平均長が第1の複数の信号線の平均長より実質的に短くなるように相互接続されている。一実施態様では、第1の複数の接続線と第2の複数の接続線は基板内の2つの層内にあり、第1の複数のビアで第1の複数の線を接続し、第2の複数のビアで第2の複数の線を接続し、第1、第2の複数のビアでは、第1、第2の複数の接続線を第1の複数の信号線の平均長より実質的に短い複数のセグメントに分割する。一実施態様では、第1の基準電圧は電源電圧であり、第2の基準電圧はグラウンドであり、シールド・メッシュは、配電と容量カップリングと誘導カップリングからの信号ラインのシールドの両方に使用される。
本発明の一実施態様では、シールド・メッシュが、ICの平面図内の第1、第2の複数の接続線のサブセットにより定められた窓を含み、第3の複数の信号線はシールドすることなく窓内に配線される。第3の複数の信号線のそれぞれは、第3の複数の信号線のうち少なくとも1本に、間をシールドすることなく隣接する。一実施態様では、線のサブセットのそれぞれは、第3の複数の信号線より実質的に幅が広く、線のサブセットは、シールド・メッシュ内の窓により生じるシールド・メッシュのインピーダンスを下げる電源リングを形成する。窓は、配線リソースの必要性を示す輻輳解析の結果として作成される。
本発明の一実施態様では、第2の複数の信号線が、第1の複数の接続線の2本の間の第2の複数の信号線のそれぞれを隣接する信号線からシールドするように基板内で配線される。第2の複数の信号線は、シールドなしで配線した場合の第1の複数の信号線より信号整合性問題の影響を受けにくく、また、重要な信号ラインは、異なる電圧のシールド線間で配線される。一例では、シールド・メッシュは、同じ電圧の平行なシールド線の間に信号ラインを配線するためのトラックを有し、それらのトラックを使用することにより、シールド線が信号ラインに使用されていない場合に、シールド線の幅を広げてシールド線の通電容量を高めることができる。一実施態様では、第1の複数の接続線のうちの隣接する少なくとも2本の線が1本の幅広の線に組み合わされており、他の実施態様では、1本の幅広の線ができるように第1の複数の接続線のうちの2本の間の領域が埋められている。したがって、何本かのシールド線は、他のシールド線より幅広である。例えば、1本のシールド線は、第1、第2の複数の接続線のうちの1本と第1の複数の信号線のうちの1本とのまとめられた線幅より広い。それとは別に、既存の線の幅を広げる代わりに、基準電圧ラインをさらに追加することもできる。この追加基準電圧ラインは、周囲の線と同じ電圧でも異なる電圧でもよい。
一例では、第3の複数の信号線は、基板内で第1、第2の複数の接続線のうちの第1の2本の線の間の第1の層内に配線され、第1の2本の線は、第3の複数の信号線より実質的に幅広であり、第3の複数の信号線は、互いに実質的に平行であり、第3の複数の信号線はそれぞれ、第3の複数の信号線のうち少なくとも1本に、間をシールドすることなく隣接する。したがって、第1の2本の線は、少なくともいくつかの信号ラインが第1の層内でシールドされていないシールド・メッシュ内の第1の窓を形成する。さらに、第4の複数の信号線は、基板内で第2及び第2の複数の接続線のうちの第2の2本の線の間の第2の層内に配線され、第2の2本の線は、第4の複数の信号線より実質的に幅広であり、第4の複数の信号線は、互いに実質的に平行であり、第4の複数の信号線はそれぞれ、第4の複数の信号線のうち少なくとも1本に、間をシールドすることなく隣接する。したがって、第2の2本の線は、少なくともいくつかの信号ラインが第2の層内でシールドされていない第2の窓を形成する。一例では、第1、第2の窓は、ICの平面図内で互いに実質的に一致する。他の例では、層の1つには、シールドされていない信号線の窓が1つだけある。一例では、シールド・メッシュによりシールドされないようにできる信号ラインのシールドされない許容可能な長さが決定され、信号ラインが配線される際に、信号ラインの一部は、シールドされていない許容可能な長さより短いシールド・メッシュによりシールドされない。
本発明の一実施態様では、シールド・メッシュの第1、第2の複数の接続線は、ICの平面図内の後述のIPブロックにより決められている領域内で配線されている。第1の複数の信号線のいくつかは、IPブロックの一部であり、また第1の複数の信号線のいくつかは、IPブロックの一部ではない。一例では、IPブロックの一部でない、第1の複数の信号線のうちの少なくとも1本は、ICの平面図内のIPブロックにより定められた領域内にある。一例では、IPブロックの一部である第1の複数の信号線のうちの少なくとも1本は、シールド・メッシュ内で再配線される。
本発明は、これらの方法を実行するデータ処理システムやそのデータ処理システムで実行されたときシステムにこれらの方法を実行させるコンピュータ可読媒体をはじめとする、これらの方法を実行する装置を含む。本発明は、さらに、これらの方法によって設計された、又は本明細書で説明されている特徴を有するICデバイスをも含む。
本発明の他の特徴は、付属の図面と以下で述べる詳細な説明とから明らかになる。
本発明は、付属の図面の図において限定されることなく例を使用して説明され、類似の参照番号は類似の要素を示す。
以下の説明及び図面は、本発明を例示するものであり、本発明を制限するものと解釈すべきではない。本発明を完全に理解できるようにするため、多数の具体的詳細を説明する。しかし、いくつかの場合には、本発明の説明をわかりにくくすることを避けるため、よく知られている又は従来の内容については詳述しない。
本発明の方法の多くは、従来の汎用コンピュータ・システムなどのデジタル処理システムにより実行することができる。1つの機能のみを実行するように設計又はプログラムされた専用コンピュータも使用することができる。
図1は、本発明と共に使用できる代表的なコンピュータ・システムの一例の図である。図1はコンピュータ・システムの様々なコンポーネントを例示しているが、そのような詳細は本発明と密接に関連していないためコンポーネントを相互接続する特定のアーキテクチャ又は方法を表すことは意図されていないことに留意されたい。また、より少ないコンポーネント又はおそらくより多いコンポーネントを有するネットワーク・コンピュータ及びその他のデータ処理システムも、本発明と共に使用できることは理解されるであろう。図1のコンピュータ・システムは、例えば、Apple Macintoshコンピュータ、あるいはWindows(登録商標)又はUNIX(登録商標)オペレーティング・システムが稼働しているコンピュータ・システムとすることができる。
図1に示されているように、コンピュータ・システム101は、データ処理システムの一形態であり、マイクロプロセッサ103、ROM 107、揮発性RAM 105、不揮発性メモリ106に結合されているバス102を備えている。マイクロプロセッサ103は、Motorola,Inc.社又はIBM社製のG3又はG4マイクロプロセッサとすることができ、図1の例に示されているように、キャッシュ・メモリ104に結合される。バス102は、これらの様々なコンポーネントを相互接続してつなぎ合わせ、またこれらのコンポーネント103、107、105、106をディスプレイ・コントローラやディスプレイ・デバイス108に相互接続し、またマウス、キーボード、モデム、ネットワーク・インターフェース、プリンタ、スキャナ、ビデオ・カメラ、さらには当業でよく知られているその他のデバイスなどの周辺デバイスに相互接続する。通常、入出力デバイス110は、入出力コントローラ109を通してシステムに結合される。揮発性RAM 105は、通常、メモリ内のデータをリフレッシュ又は保持するために絶えず電力を必要とするダイナミックRAM(DRAM)として実装される。不揮発性メモリ106は、通常、磁気ハード・ドライブ又は磁気光ドライブ又は光ドライブ又はDVD RAM又はシステムの電源を切った後もデータを保持する他の種類のメモリ・システムである。通常、不揮発性メモリは、ランダム・アクセス・メモリでもあるが、そうである必要はない。図1は、不揮発性メモリがデータ処理システム内の残りのコンポーネントに直接結合されているローカル・デバイスであることを示しているが、本発明では、モデム又はEthernet(登録商標)インターフェースなどのネットワーク・インターフェースを通してデータ処理システムに結合されているネットワーク記憶デバイスなどのシステムから離れた場所にある揮発性メモリを使用することができることは理解されるであろう。バス102は、当業でよく知られているように、様々なブリッジ、コントローラ、及び/又はアダプタを通して互いに接続されている1つ又は複数のバスを備えることができる。一実施形態では、I/Oコントローラ109は、USB周辺機器を制御するためのUSB(Universal Serial Bus)アダプタ、及び/又はIEEE−1394周辺機器を制御するためのIEEE−1394バス・アダプタを備える。
この説明から、本発明の複数の態様は、少なくとも一部は、ソフトウェアで実現できることは明白であろう。つまり、これらの技法は、ROM 107、揮発性RAM 105、不揮発性メモリ106、キャッシュ104、又はリモート記憶デバイスなどのメモリに格納されている命令シーケンスを実行するマイクロプロセッサなどのプロセッサに対する応答として、コンピュータ・システム又はその他のデータ処理システム内で実行することができる。様々な実施形態において、本発明を実装するために、ハードワイヤ回路をソフトウェア命令と組み合わせて使用することができる。したがって、これらの技法は、ハードウェア回路とソフトウェアの特定の組合せに限定されず、またデータ処理システムにより実行される命令の特定のソースにも限定されない。さらに、この説明全体を通して、説明を簡単にするため、様々な機能や操作はソフトウェア・コードにより実行されるか、又はソフトウェア・コードにより引き起こされるものとして説明されている。しかし、当業者であれば、そのような説明が意味しているのは、それらの機能がマイクロプロセッサ103などのプロセッサによるコードの実行から結果として生じるということであると理解するであろう。
機械可読媒体を使用することにより、データ処理システムにより実行されたときに本発明の様々な方法をシステムに実行させるソフトウェアとデータを格納することができる。この実行可能ソフトウェアとデータは、図1に示されているように、例えば、ROM 107、揮発性RAM 105、不揮発性メモリ106、及び/又はキャッシュ104をはじめとする様々な場所に格納することができる。このソフトウェア及び/又はデータの一部分を、これらの記憶デバイスのうちのいずれか1つに格納することができる。
したがって、機械可読媒体は、機械によりアクセス可能な形態で情報を提供(つまり、格納及び/又は伝送)するメカニズムを備える(例えば、コンピュータ、ネットワーク・デバイス、パーソナル・デジタル・アシスタント、製造装置、1つ又は複数のプロセッサの集まりを含むデバイスなど)。例えば、機械可読媒体は、記録可能/記録不可能媒体(例えば、読み取り専用メモリ(ROM)、ランダム・アクセス・メモリ(RAM)、磁気ディスク記憶媒体、光記憶媒体、フラッシュ・メモリ・デバイスなど)だけでなく、電気、光、音響、又はその他の形態の伝播信号(例えば、搬送波、赤外線信号、デジタル信号など)などをも含む。
本発明の少なくとも1つの実施形態では、少なくとも2つの基準電圧(例えば、電源とグラウンド)のシールド・メッシュを使用して、ICチップ内の信号線の容量カップリングと誘導カップリングの両方を低減する。本発明の一実施形態によれば、複数の信号ラインが基板内に配置された回路デバイスが、電力を基板回路の回路に供給し、かつ、回路デバイス内の近隣信号ラインの間のクロストークの効果を低減するために信号ラインを互いにシールドするように接続されている複数の線からなるシールド・メッシュを持つ。
この出願では、線又はラインの幅は、その線のための層に平行な線の短い方の寸法を指し、線の太さは、層に垂直な方向の線の寸法を指す。
図3は、本発明の一実施形態による2層シールド・メッシュによりシールドされている信号ラインの平面図であり、図4は、2層シールド・メッシュによりシールドされている信号ラインの透視図である。この実施形態によれば、信号ラインは基準電圧GND(グラウンド)とVCC(電源)に接続されているシールド・メッシュにより両側がシールドされている。ライン311〜315はICの一方の層にあり、ライン321〜325はICの他の層にある。ビア301により接続されているライン311、321は電圧GNDでシールドを行い、ビア303により接続されているライン315、325は電圧VCCでシールドを行う。信号ライン313は、異なる電圧のライン311、315により両側がシールドされ、信号ライン323は、ライン321、325により両側がシールドされている。2つの層の中のこれらのラインは、ある角度で配線されており(例えば、90度、45度、又は鋭角もしくは90度以外の角度)、一方の層の中の1本のシールド・ラインは、ビアを通して他方の層の中のいくつかのシールド・ラインに接続することができる。例えば、ビア301、303は、ライン321、311及びライン315、325を接続し、2つの編み合わされたメッシュを形成するが、それぞれのメッシュは、ビアを通して相互接続され、1つ又は複数の基準電圧(例えば、GND又はVCC)により通電される複数の線からなるメッシュである。
本発明の一実施形態によれば、シールド・メッシュは、回路へ電力とグランウドを供給するためのパワー・グリッドに加えてIC上に含まれる。例えば、0.25ミクロン技術などの他の実施形態によれば、VDDとVSSラインの相対的セグメント距離は、0.94ミクロン程度の小ささに短縮できる。シールド・ラインのセグメント長を短くすると、雑音源となる実効RC成分とカップリング効果が低減される。
シールド・ラインが非常に密接していることとシールド・ラインが比較的短いセグメントであるという事実とが合わさって(例えば、ビア301、303での接続によって)、実効RCインピーダンスと共に信号ライン間の信号カップリングが低減される。本発明の一実施形態では、信号ラインの幅はシールド・ラインの幅と同じであり、本発明の他の実施形態では、シールド・ラインのいくつかの幅は、代表的な信号ラインの幅と異なる。シールド・メッシュ内のラインの密度は、ICとICに関するメッシュの適用及び目的とに依存する。一事例では、基準電圧ライン(例えば、VSS、VDD)を1ミクロン未満の距離内に少なくとも2本の基準電圧ラインがあるような密度とすることができる、つまり、2本の隣接する基準電圧ラインを隔てる距離は、1ミクロン未満とすることができ、領域内の密度は、10ミクロン×10ミクロンの面積内に10本のラインの密度より高くできる。この場合のメッシュ内のすべてのラインの密度(基準電圧ラインの間及び容量をデカップリングする目的でメッシュ内に加えられるあらゆる付加基準電圧ラインの間に配線される信号ラインを含む)は、10ミクロン×10ミクロンの面積内に10本という密度をさらに超える。これらのラインの幅もまた、ICとラインの適用及び目的とに依存する。信号ラインは現在利用可能な技術で可能な小ささの幅を持つことができ(例えば、0.5ミクロン以下の小ささ)、基準電圧ラインの幅も小さいものとすることができる。
本発明の一実施形態では、単一層シールド・メッシュは、複数の信号ラインを相互にシールドするための異なる基準電圧の複数のシールド線を持つ。
図5は、本発明の一実施形態による多層シールド・メッシュによりシールドされている信号ラインの縦断面図である。層371、373、375は、ライン381、383,363、365がそれぞれ配置される層を隔てている。それぞれの層内で、1つの信号ラインが2本の基準電圧ラインの間に配線される。例えば、信号ライン361は、それぞれ基準電圧VSSとVDD用の基準電圧ライン363、367により層上でシールドされている。基準電圧ラインは、さらに、層をまたがる信号ラインもシールドすることができる。例えば、基準電圧ライン369、365は、信号ライン361の層間シールドを行っている。断面切取部の層371、373、375のラインは、それぞれVSS、信号、VDD(又は、信号/VDD/信号、VSS/信号/VDD、信号/VSS/信号)のラインとすることができ、一方の層上の信号ラインは、他の層の基準電圧の1つ又は複数のラインによりシールドされる。本発明の一実施形態では、異なる層内の信号ラインと基準電圧ラインは、すべて、グリッド構造を(例えば層371と375との間に)形成するように揃えられ、そこでは、第1の層内のラインは層内で平行であり、一方向に延び(例えば、左から右へ)、他の層内のラインは他の層内で平行であるが、第1の層に関して垂直になるように配列されている。本発明の他の実施形態では、異なる層に対する信号ラインと基準電圧ラインは、すべてグリッド構造に合わせて揃えられているわけではない(例えば、層371の上と下の層)。シールド・ラインは、一実施形態では同じ幅であり、他の実施形態では、シールド・ラインは、ライン381、383の断面図により例示されているように、異なる幅とすることができる。ビア(例えば、ビア377、379)を使用して、異なる層の線、特に、基準電圧の線を相互接続し、シールド線のセグメント・サイズを縮小する。本発明の一実施形態では、異なる電圧(例えば、VSS、VDD)のシールド・ラインは、シールド・メッシュ内で交互の位置をとり、異なる基準電圧であり、1つの層内又は複数の層にまたがる信号ラインに平行な2本の最も近い隣接ライン間で各信号ラインがシールドされる。
本発明の一実施形態では、様々なサイズのビアが、グリッド・サイズに無関係にできる限り近接して利用される。非常に近接したビアを使用することで、シールド・メッシュ内でシールドされている各信号の相対的セグメント長が短縮される。
本発明の他の実施形態によれば、シールド・メッシュを使用して、集積回路デバイスをメイン・パワー・グリッドに接続する経路を実現する。例えば、ライン383がビア379、377を通してライン381につながり、次に、ライン381がメイン・パワー・グリッドに接続される。
本発明のいくつかの実施形態では、局所的に混雑している領域内で利用できる経路選択を増やすため、又はシールド・メッシュで電圧降下を低く抑えつつ電流を流す能力を高めるため、ある種のシールド・メッシュが選択される。
図6〜10は、本発明の複数の実施形態による層内の信号ラインをシールドするためのシールド・メッシュの例の図である。基準電圧ライン(例えば、ライン401、405、411、415)は同じ電圧でもよく、異なる電圧でもよいことは理解できるであろう。
図6では、それぞれの信号ライン(例えば、ライン403)は2本の隣接する平行基準電圧ライン(例えば、ライン401、405)によりシールドされている。本発明の一実施形態では、信号ラインのそれぞれに対する2つの隣接する平行基準電圧ラインは、VCC、信号、GND、信号、VCC、...の系列となるような異なる電圧(例えば、VCCとGND)であり、本発明の他の実施形態では、いくつかの信号ラインに対する隣接する平行基準電圧ラインのペアは同じ電圧である(例えば、VCC、信号、VCC、...、GND、信号、GND、...の系列)。ラインのパターン又は系列は、領域内の混雑レベル、配電要件、信号ラインの雑音レベル、その他のパラメータに応じて選択することができる。
図7は、信号ラインのいくつかが片側からのみシールドされている状況を示している。信号ライン413は基準電圧ライン411、415により両側からシールドされるが、ライン419は右側のみシールドされ、ライン417は左側のみシールドされる。例えば、図7の例ではVCC、信号、GND、信号、信号、VCC、信号、信号、GNDの系列を使用できるか、又は図7の例ではVCC、信号、VCC、信号、信号、GND、信号、信号、GNDの系列を使用できる。このようなシールド・メッシュは、比較的混雑した領域に使用することができ、その場合、領域のかなりの部分が信号線の配線に使用される。信号整合性問題の影響を受けない信号ラインは、2本のシールド・ライン内で互いに隣り合うように配線することができる。
図8は、信号のいくつかが片側からのみシールドされ、信号ラインの一部はシールドされない状況を示している。信号ライン423、427はそれぞれ、基準電圧ライン421、429により片側がシールドされるが、信号ライン425はシールドされない。このようなシールド・メッシュを混雑している領域に使用すると,信号線の配線に使える領域を増やすることができる。例えば、425の位置にある基準電圧ラインは、信号ライン423、427との間に配線されても信号整合性問題を引き起こさない信号ラインで置き換えられる。位置425などの位置にある1つの基準電圧ラインを信号ラインに置き換えることは、IC設計のコンピュータ援用工程の一部としてIC上のコンポーネントの自動配置及び/又は配線時に実行することができ、またコンピュータ援用配線工程で混雑を検出した結果として実行することができる。1つのシナリオでは、信号ライン425は、信号ライン423、427との間に短いセグメントを1つだけ通し、信号ライン423、427の大部分(長さ方向)をそのまま隣接する基準電圧ラインの間で両側からシールドするようにする。他のシナリオでは、信号ライン423〜427のタイミングがライン423、425の間のカップリング及びライン425と427の間のカップリングが信号整合性問題を引き起こさないようなタイミングである場合、信号ライン425をライン423、427との間のカップリングを低減するシールド・ラインとして使用することができる。このようなタイミング・シナリオの例は、ライン423、427が1クロック・サイクルでほぼ同時に状態を切り換えられ、ライン425がそのサイクルにおける異なる時刻にその状態を切り換えられるようなものである。
図9は、2本以上の基準電圧ラインが2本の信号ラインを隔てるために使用される状況を示している。例えば、基準電圧ライン435、437は、信号ライン433、439を隔てている。付加電圧ラインを使用して、基準ラインのインピーダンスを減らしたり、又はライン系列もしくは電圧基準ラインのパターンを変更することができる。このようなシールド・メッシュは、混雑していない領域で使用することができ、その場合、さらに多くの領域を配電に使用することができる。1つのシナリオでは、基準電圧ラインのいくつかのセグメントが、隣接する信号ラインとの強いカップリングを持たない信号ライン、特に短い信号ラインの配線のため再接続される。
図10は、基準電圧ラインが異なるサイズである状況を示している。例えば、幅広のライン441、445は、強い雑音となりうるライン443をシールドするために使用され、幅の狭い基準電圧ライン449は、ライン447をシールドするために使用される。ライン441、445は、信号ラインを配線するために使用されない1つ又は複数の隣接するトラック(ラインの間)を組み合わせることにより生成することができる。
図6〜10に示されているようなシールド・メッシュを様々な層内のビアを通して相互接続し、図5に示されているようなメッシュなどの多層シールド・メッシュを形成することができる。
本発明の一例では、1つの層内のシールド・メッシュは、2本以上の信号ラインを完全にシールドするため少なくとも3本の平行シールド線を含み、それぞれの信号ラインは、2本のシールド線の間に配置され(例えば、配線され)、隣接する信号ラインからシールドされている。本発明の他の例では、1つの層内のシールド・メッシュは、5(又は7)本より多い平行シールド線を含む。シールド・メッシュ内のシールド線は、複数の基準電圧により電力を受け取る(例えば、IC内で電力を分配するためにも使用されるGNDとVCC)。本発明の一実施形態では、それぞれがシールド・メッシュ内の3本以上の線を結合するノードの間のシールド線のセグメントの平均長がそれほど長くならない(例えば、メッシュ内の信号ライン間の平均間隔の10 15倍未満)ように複数の層内のシールド・メッシュが相互接続されている。本発明の一実施形態では、それぞれが3本以上の線を結合するノードの間のシールド線のセグメントの平均長はメッシュ内の信号ライン間の平均間隔の3倍未満である。本発明の一実施形態では、それぞれが3本以上の線を結合するノードの間のシールド線のセグメントの平均長はメッシュ内の信号ラインの平均長よりかなり短い。
図11〜13は、本発明の複数の実施形態による2層シールド・メッシュによりシールドされている信号ラインの詳細な実施例の図である。
図11は、2つの隣接する基板層上のシールド・メッシュの平面図である。これらの隣接する基板層を隔てる絶縁層は図に示されていない。信号ラインのセグメント(例えば、501〜506(S1〜S6))は、基準電圧ライン(例えば、511、513、515、517など)のセグメント間で互いにシールドされている。ビア521、522、523は、GNDライン513が複数の小さなセグメントに分割されるように、上側層内のGNDライン513を下側層内のGNDライン515、518、519にそれぞれ接続する。同様に、ビア524〜527は、下側層内のVCCライン517を上側層内の対応するVCCライン(例えば、VCCライン511)に接続する。ビア529は、下側層内の信号ライン501のセグメントを上側層内の信号ライン501のセグメントに接続する。シールド・メッシュのそれぞれのビアは層間の接続を実現し、シールド・メッシュのセグメント・サイズを縮小することにより実効R−C値を低減している。図11では、電圧レベルVCCに対する複数の接続線からなるメッシュと電圧レベルGNDに対する複数の接続線からなるメッシュが織り合わされてシールド・メッシュを形成し、信号ラインのそれぞれのセグメントは異なる電圧(例えば、GNDとVCC)の2本の平行な隣接基準ラインの間で他の最も近い信号ラインのセグメントからシールドされる。
本発明の一実施形態では、それらの層のそれぞれの基準電圧ラインが規則正しいグリッドを形成するように揃えられた直線をなし、他の実施形態では、それらの層のそれぞれの基準電圧ラインはまっすぐでも、規則正しいグリッドを形成するように揃えられてもいない。本発明の一実施形態では、基準電圧ラインと信号ラインがグリッドに揃えられた場合、基準電圧ラインは奇数(又は偶数)のグリッド・トラック上にあり、信号ラインは偶数(又は奇数)のグリッド・トラック上に配線される。本発明の一実施形態では、基準電圧ラインと信号ラインが複数の層にまたがってグリッドに揃えられている場合、トラックは、上下のカップリングを回避するため信号トラックが平行に互いの上に直接載らないように、割り当てられている。例えば、層Nの上の信号ラインが奇数トラックにある場合、層N+2上の信号ラインは、層Nと同じ配線方向を持ち、偶数トラック上に配線される。この戦略により、信号ラインは左側と右側だけでなく、上側と下側でも逆方向の電源/グラウンドによりシールドされる。したがって、セグメント長は短くなり、雑音カップリングを低減する信号ライン間の効果的な分離が高まる。
1つの層内の信号ラインとシールド・ラインが規則正しいグリッドを形成しない場合、1つの層内の信号ラインを層内と隣接する層内のシールド・ラインによりトレースし、上下のシールドを行うことができる。
本発明の一実施形態では、初期シールド・メッシュは、同じ基準電圧(例えば、VCC又はGND)のいくつかのシールド線が隣り合わせで配置されるように設計(又は選択)される。同じ基準電圧のシールド線の間のトラックが未使用である場合、それらの間のスペースは、基準電圧が導かれるように埋めることができ、これにより、シールド線の通電容量を劇的に向上させることができる。信号ラインは、異なる電圧のシールド線(例えば、VCC/GNDペア)の間に配線し、同じ基準電圧のシールド線のペア(例えば、VCC/VCCペア、又はGND/GNDペア)をできる限り未使用のままにしておく。劣化の影響を受けない信号は、同じ基準電圧のシールド線のペアの間に配線することができる。VCC、GND、VCC、GND、GND、VCC、GND、VCC、VCCなどのシールド線の異なるパターンは、シールドと通電容量との間の様々なトレードオフ条件に合わせて使用することができる。
図12は、上側層内の基準電圧ライン551〜556がVCC、VCC、GND、GND、VCC、VCCのシーケンス内にある2つの隣接する基板層上のシールド・メッシュの平面図である。同じ電圧の2本の基準電圧ラインは、互いの近くに配置される。異なる電圧の2本の基準電圧ラインの間に配線される信号ラインは、同じ電圧の2本の基準電圧ラインの間に配線された信号ラインより優れている。したがって、重要な信号ライン(例えば、信号ライン561)は、第1に、異なる電圧の基準電圧ラインの間に配線され(例えば、GNDライン554とVCCライン555)、クロストークなどによる劣化の影響をあまり受けない他の信号ライン(例えば、ライン563)は、同じ電圧の2本の基準電圧ライン(例えば、VCCライン555と556)の間に配線することができる。すべての信号ラインが配線された後、信号ラインの配線に使用されていないトラックがあってもよい。例えば、図12のトラック565、567、569は、信号ラインには使用されず、隣接する基準電圧ラインと組み合わせることにより対応する基準電圧ラインの幅を実質的に広げ(例えば、幅を2倍にし)、そのインピーダンスを低減させることができる。このラインの組合せは、コンピュータ援用設計システム(例えば、IC配線ソフトウェアを使用してICを設計するシステム)により自動的に実行することができる。例えば、図12に示されているトラック569は、GNDライン554と合併して図13のより幅の広いGNDライン584を形成することができ、図12のトラック567は、VCCライン552とGNDライン553により共有され、幅広のVCCライン582と幅広のGNDライン583を形成し、図13に示されている結果を得ることができ、図12のトラック565は、ビア587、589が挿入されライン581を下側の層内のVCCラインに接続した後、VCCラインとして使用される。未使用のトラックも、他の形態で基準電圧ラインと組み合わせることができることは理解されるであろう。例えば、電圧ライン551、581、582は、組み合わせることによりすべて幅広の1本のラインにまとめることができ、ライン584、583は、上側層内の信号ライン502のセグメントがライン583、584から組み合わされた線により形成されるU字型GND線によりシールドされるように組み合わせることができる。
異なる電圧の2本の基準電圧ラインの間のトラック(例えば、トラックの一部、図12では567)が信号ラインの配線に使用されていない場合、そのトラックは、上述のように、基準電圧ラインの幅を広げるために使用することができる(例えば、トラック上に別の基準電圧ラインを配線するか、又はトラックと基準電圧ラインの1つと組み合わせるか、又は基準電圧ラインによりトラックを共有する)。線幅に依存する間隔要件を持ついくつかの設計規則に従って、トラック上に別の基準電圧線(例えば、VCC又はGND)を配線するのが望ましい。別の基準電圧線は、最小幅とすることができる。基準電圧ラインの幅を広げるために異なる基準電圧(例えば、VCC及びGND)のラインの間に開いているトラックを使用すると、基準電圧ラインの間にデカップリング容量を持ち込むことができて都合がよい。この方式は、0.13ミクロン(及びそれ以下)の技術を使用する場合に、特に有用である。デカップリング容量を加える従来の方法では、ゲートがキャパシタとして使用される大型のトランジスタで空いているスペースを埋める。しかし、0.13ミクロン以下では、ゲート間の漏れ電流が大きくなり、電力を消費しすぎる。さらに、トランジスタ・ベースのデカップリング容量は抵抗を有し、高周波雑音を抑制するのには適していない。シールド・グリッドに持ち込まれるデカップリング容量は、高周波雑音を抑制するのに適している。さらに、同じ電圧(例えば、VCC)の2本の基準電圧ライン間のトラック(例えば、トラックの一部)が信号ラインの配線に使用されない場合、同じ電圧のライン又は異なる電圧のラインにそのトラックを使用することができる。例えば、図12の2本のVCCラインの間のトラック565は、VCC又はGNDに使用することができる。トラックが異なる電圧(例えば、GND)の基準ラインに使用される場合、追加デカップリング容量が加わり、トラックが同じ電圧(例えば、VCC)の基準ラインに使用される場合、そのトラックを隣接する基準ライン(例えば、VCCライン551、552)と組み合わせて、さらに、組み合わせた基準ラインの抵抗を低減させることができる。このデカップリング容量の態様は、図28A、28B、29A、29B、30を参照して説明される。
図28Aは、少なくとも2本の隣接する第1の基準電圧ライン(例えば、VDD)と少なくとも2本の隣接する第2の基準電圧ライン(例えば、VSS)との繰り返しパターンを含む1層シールド・メッシュの実施例を示している。図28Aに示されているシールド・メッシュ1200は、4本のVDDライン(1201A、1201B、1201C、1201D)及び4本のVSSライン(1202A、1202B、1202C、1202D)を含む。2つのVDD、2つのVSS、2つのVDD...の繰り返しパターンは、後述のいくつかの利点を有する。シールド・メッシュ1200は、メッシュの使い方に応じてサイズが異なる。メッシュの密度は、4本のライン1201C、1201D、1202C、1202Dは2ミクロン以下の距離D(1203)の範囲内にあるような非常に高い密度とすることができる。シールド・メッシュ1200がデカップリング(例えば、バイパス)容量を与えるために使用されるような場合、通常は、高密度のシールド・メッシュを使用して隣接する基準電圧ライン間の所望のレベルのデカップリング容量を得るのが望ましい。他の場合には、シールド・メッシュは、密度が低いことがある(例えば、Dは20ミクロン未満、又は場合によっては、50ミクロン未満とすることができる)。シールド・メッシュ1200の場合、少なくとも1本の信号ライン1204をシールドし、追加基準電圧ライン1207を通して少なくとも1つのデカップリング容量を供給するために使用される。シールド・メッシュ1200のこの使い方は、図28Bに示されている。図28Bに示されているように、信号ライン1204は、VDD基準電圧ライン1201BとVSS基準電圧ライン1202Aとの間のシールド・メッシュに追加されている。この信号ライン1204は、全長にわたってシールド・メッシュを含む層上のこれらの2本の基準電圧ラインの間でシールドされる。これは、上又は下の層内でシールドすることができる場合もできない場合もある。信号ライン1204は、それぞれ接続ビア1205A、1205Bを通して他方の層上のライン(例えば、ライン1205C及び1205D)に結合される。追加基準電圧ライン1207は、VDD基準電圧ライン1201Cと1201Dとの間に追加されており、これは、このシールド・メッシュを含む層上のIC内のVDDとVSSとの間にバイパス又はデカップリング容量をもたらす。この追加基準電圧ライン1207は、VSSラインとVDDラインとの間に追加されたVSSラインと比較して2倍ほどのデカップリング容量をもたらす(例えば、VSSライン1202BとVDDライン1201Cとの間にVSS基準電圧ラインを追加しても、図28Bに示されているような追加VSSライン1207の約1/2程度のデカップリング容量にしかならない)。2本のVDDラインと2本のVSSラインの繰り返しパターンでは、デカップリング容量を追加するように設計されている第1の極性の追加基準電圧ラインを第2の極性の帯電基準電圧ラインのペアの間に挿入できるため、このような追加容量が得られる。追加基準電圧ライン1207は、接続ビア1208を通して(又はライン1207の長さにそったある場所の図には示されていない他のビアを通して)他のVSSラインに結合できる。シールド・メッシュにより与えられるデカップリング容量の大きさをシールド・メッシュにより与えられる所望の大きさのデカップリング容量まで増やすために追加基準電圧ラインを加えることができることは理解されるであろう。したがって、例えば、ライン1207が追加された後でもさらにデカップリング容量が欲しい場合、さらに基準電圧ラインを加えることができる(例えば、VSSライン1202Aと1202Bとの間に追加VDDラインを加え、VDDライン1201Aと1201Bの間に追加VSSラインを加えることができる)。シールド・メッシュ1200は、特定の設計でシールドする必要のある信号ラインがない場合にデカップリング容量を与えるためだけに使用できる。
図29A、29Bは、1信号ラインのシールド及びデカップリング容量を含むシールド・メッシュの他の実施例を示している。シールド・メッシュ1220は、ICの単一層内に、第1の基準電圧(この場合VSS)を供給するように設計されている第1の複数のライン1226A、1226B、1226C、1226D及び第2の基準電圧(この場合VDD)を供給するように設計されている第2の複数のライン1227A、1227B、1227Cを含む。シールド・メッシュは、メッシュがデカップリング容量を与えるためにも使用される場合に役立つ高密度を実現できる。密度は、領域内にあるラインの本数(又はラインの一部)又は図29Aの距離L(1225)などの直線距離と交差する平行線の本数により定義することができる。距離Lは、様々な異なる設計にわたってD(図28Aの)と同じ範囲をとることができる。図29Bは、2本の信号ライン1230A、1230B、及び2本追加基準電圧ライン1231、1232がコンピュータ援用設計工程の結果としてシールド・メッシュに追加された後のシールド・メッシュ1220を示している。信号ライン1230A、1230Bはそれぞれ、逆方向基準電圧ラインの隣接するペアの間でシールドされている。例えば、信号ライン1230Aは、VSSライン1226AとVDDライン1227Aとの間でシールドされている。接続ビア1229A、1229Bは、それぞれ、信号ライン1230A、1230Bの隣接する層との電気的接点となる。図29Bのシールド・メッシュは、さらに、接続ビア1231A、1232Bを通して隣接する層にそれぞれ結合されている2本の追加基準電圧ライン1231、1232も含む。追加VSS基準電圧ライン1231は、VDDライン1227Bと共に、デカップリング・キャパシタを提供している。追加VSS基準電圧ライン1232とVDDライン1227Cは、もう1つ別のデカップリング・キャパシタを提供している。残りのスロット(メッシュの元の基準電圧ライン間の)を使用してさらに追加基準電圧ラインを加え、シールド・メッシュにより与えられるデカップリング容量を高められることは理解されるであろう。
図30は、シールド・メッシュの他の実施例を示している。シールド・メッシュは、グラウンド(GND)基準電圧ライン565Aが追加され、VCC基準電圧ライン569Aも追加され、デカップリング容量を与えていることを除き、図12のメッシュと同じである。VCCライン569Aは、接続ビア569Bを通して、他のVCCラインに電気的に結合されている。グラウンド基準ライン565Aは、接続ビア565Bを通して、他のGNDラインに電気的に結合されている。
本発明のいくつかの実施形態では、複数の線からなるメッシュを使用して、配電し、信号をシールドする。通常のICでは、配電用の線は、配線リソースのかなりの部分を占有する。配電にシールド線も使用すれば、シールドに要する面積コストは著しく下げることができる。
図14は、本発明の一実施形態によるパワー・グリッドと共に接続されている2層シールド・メッシュの詳細な実施例の図である。パワー・グリッドは、幅広のライン601〜605と607〜609を備え、これにより、電力をIC回路に供給し、またIC回路へのグラウンドともなり、シールド・メッシュ(例えば、ライン621〜625、ライン641〜644により形成されるメッシュ)は、その基準電圧ラインの幅は狭く、幅の狭い基準電圧ライン間に配線されている信号ライン(図14には示されていない)をシールドする。シールド・ライン(例えば、641、643、621、625)は、パワー・グリッドにつながっており(例えば、ビア651、652、653、654を通して)、シールド保護と電力供給とを行い、シールド・メッシュ内の他のビア(例えば、ビア655、656)は、メッシュ内の複数のラインを2つの基準電圧のうちの1つ(例えば、VCC又はグラウンド)に結合する。いくつかのビア(例えば、ビア655、656)の間のセグメント長は比較的短いため、シールド・メッシュは接続先のラインの実効RC成分を低減する働きをし、その結果、雑音とカップリング効果が低減させられる。シールド・メッシュは、配線リソースが使用されている基板領域上に展開することができる。パワー・グリッド・ライン(例えば、ライン605)とシールド・メッシュ・ラインとの幅の比は2から10倍、又はそれ以上である。本一実施形態では、代表的シールド線は、代表的信号ラインのサイズ(幅及び/又は太さ)である。
図14を見ると、異なる領域で異なる種類のシールド・メッシュを使用できることがわかる。例えば、シールド線631〜635は、電圧の異なる線の隣接するペアの間のトラックをそれぞれシールドする(つまり、ライン631と632との間の信号線のトラックは、2つの異なる基準電圧VCCとGNDの間の信号線をシールドするトラックであるということである)が、しかし、シールド線621〜625は、基準電圧が同じである2本の線の間のいくつかのトラック(例えば、ライン621と622との間の)をシールドする。
シールド・メッシュは、基板上のブロック間の、さらに基板のブロック内の、配線チャネル内で使用することができる。シールド・メッシュのセグメント長は比較的短いので、シールド・メッシュは、配線ラインの実効RC成分と信号ライン間のクロストークにより生じる雑音及びカップリング効果を低減する。
図14の領域611などのいくつかの混雑している領域では、シールド・メッシュ内の窓を使用して、窓内に信号ラインを配線する余地を作ることができる。そのような窓では、信号ラインはシールドされず(又は、窓の外部のシールド密度より低いシールド密度でシールドされる)、従来の方法は、信号整合性問題を回避するように信号ラインを配線するために窓内で使用することができる。通常、シールド・メッシュの層内の窓は、他の層からラインを配線する場合に使用される。
局所的な混雑領域の近くのシールド・メッシュは、電源リングにより囲まれている窓で置き換えることができる。電源リング内の領域、つまりシールド・メッシュ内の窓は、完全にシールドされていないかもしれず、窓を通して配線される線について考慮される。窓内の使用可能なトラックの数を増やして、信号配線に使用できるトラックの数を倍にする。図7、8に例示されているようなまばらなシールド線の集まり(例えば、シールド密度が低い)を窓の中で使用することができる。シールド・メッシュの層内にそのような窓を作成する作業は、ICを設計するためのIC配置及び配線ソフトウェアを使用するシステムなどのコンピュータ援用設計システムにより自動的に行われる。
シールド・メッシュの窓を通して、又は窓内で配線される線毎に、隣接する信号ラインの間のカップリングにより信号整合性問題が生じうるかどうかを判別することができる。例えば、RLCモデルを使用すると、他の信号ラインからのカップリングにより信号が劣化する可能性があるかどうかを判別することができる(又は、シールド・ラインが電源/グラウンド・グリッドに接続されている場合は、電源/グラウンド電流)。
混雑レベル(例えば、信号ラインの配線に関して)は、配線の早い段階で、又は実際の配線作業の後に、成功しようとしまいと、コンピュータ援用設計システムにより見積もることができる。混雑のレベルが許容できないほどである(例えば、配線密度があらかじめ定められている設計規則を超えている)と判断された後、コンピュータ援用設計システムにより、シールド・メッシュ内に窓の表現を導入(「開く」)し、窓内に配線することができる。コンピュータ援用設計システムは、その後、窓内に信号線を配線し、混雑のレベルを下げることができる。
図15は、本発明の一実施形態による、シールドされていない信号ライン用のシールド・メッシュのそれぞれの層に窓を備える2層シールド・メッシュの透視図である。基板の層701上のセル(例えば、ゲート711、713)は、信号線(例えば、ライン753、733)を通して接続される。窓751、731内では、信号線はシールド線によりシールドされていない。窓751,731内にシールド線がなければ、信号ラインの配線により多くのリソース(例えば、ICの少なくとも1つの層上の物理的スペース)を使用できる。窓751,731の外では、シールド線(例えば、ライン741、742、743、745、746、747)は、シールド・ライン間のトラック内を通る信号ラインに対しシールドを行うために使用される。窓の回りでは、幅の広い電源ライン(例えば、VCCの場合はビア722を通して接続されているライン721,723、GNDの場合はビア726を通して接続されているライン727、725)は、窓内の領域に電力を供給し、メッシュ内の窓により引き起こされるインピーダンスを低減するために使用される。
図15は、2層シールド・メッシュ内の同じサイズの2つの窓を例示しているが、2層内の窓のサイズは異なっている場合がある。さらに、シールド・メッシュの単一層(第2の層がない)では窓を使用できる。図16〜18は、本発明の複数の実施形態によるシールドされてない信号ラインのための窓のある2層シールド・メッシュの詳細実施例の平面図である。
図16の実施例では、ライン801、802、803、804の間でパワー・グリッド内に窓が形成されている。上側の層と下側の層の両方は同じ窓サイズを有する。下側の層のトラック811〜814及び上側の層のトラック821〜826は、すべて、トラック821〜826の上の層及び下側の層の下の層などの他の層からの信号を配線するために使用される。図17の実施例では、窓は、上側の層にのみあり、トラック851〜859は、例えば、トラック851〜859の上の層への/上の層からの信号線の配線に使用され、下側の層のライン841〜844のシールド・メッシュでは、パワー・グリッド・ライン831、832、833、834の間に窓はない。図18の実施例では、幅広の線861、862、863、864からなる電源リングが、隣接する基準電圧ライン及び間にあるトラックを組み合わせることにより形成され、これにより電源リングに対する線は実質的に幅が広くなる(例えば、代表的な信号ラインの幅の2から5倍以上)。電源リングは、窓によって引き起こされるシールド・メッシュ内のインピーダンスを低減(又は補償)させるために、窓の回りに電流を流す。VCCライン873は、ビア872を通して電源リングのライン862に接続されている。VCCライン873は、GNDライン871に接続されておらず、GNDライン871はビア874を通して電源リングのライン861につながっている。GNDライン882は、電源リングのライン861に接続されているが、VCCライン881は、電源リングのライン861に接続されていない。その代わりに、ビア883は、VCCライン881をVCCライン885に接続し、ビア886、887を通して電源リングにつながる。したがって、異なる層内のシールド線を接続するためビアを選択して配置し、特定の場所でシールド線の接続又は切断を選択し、トラックを選択して組み合わせることにより、電源リングの外側にある異なる種類のシールド・メッシュが形成される。
この説明から、シールド内の窓は単一の金属層(例えば、図17の水平のラインの層)にあるか、又は2つの隣接する金属層(例えば、図16の水平のライン及び垂直のラインの層)上の同じ位置にあることがわかる。さらに、隣接する金属層上の窓は、同じ位置にあることはできず、また同じサイズとすることはできないことを理解されたい。隣接する金属層上の窓は、サイズが異なることがあり、また互いに部分的に重なり合うこともある。窓内の信号ラインの最大長が信号ラインのシールドされていない許容可能な長さより短くなるように窓のサイズを制約することは望ましいが、いつでもそうする必要があるというわけではない。このようにして、窓内のシールドされていない信号ラインは、窓内の隣接するラインの影響をあまり受けない。窓のサイズがこのようにして設定された場合、信号ラインは、(少なくとも窓内の信号ラインの部分の)信号の整合性を気にせずに(又はそれに関係するパラメータを計算せずに)窓内で配線することができる。
本発明の様々な実施例は2つの隣接する層内のラインが直線的に(例えば、一方の層内のラインが他方の(例えば次の)層内のラインに対して90度の角度にある)配線される配線アーキテクチャを使用して例示されているが、本発明の様々な実施形態を異なる配線アーキテクチャにすることもできることは理解されるであろう。例えば、2つの隣接する層のラインを例えば45度の角度に配置し、一方の層のラインが対角線方向に配線されるようにできる。例えば、Xアーキテクチャ(例えば、Simplex社(現Cadence社)によって開発されたアーキテクチャ)では、チップの金属層群内の線は、標準アーキテクチャから45度の方向に配線される。本発明の様々な実施形態は、層の間の相対的な向きとは関係なく、配線方向の様々な角度で使用することができることは理解されるであろう。例えば、第1のいくつかの(シールドされている)層内の線は、水平/垂直方向に配線され、その後、層のシールドされたペア内の線は、対角線方向(例えば、水平/垂直方向から45度)に配線される。
図32は、少なくとも第1、第2の層が、第1の基準軸と第2の基準軸に対して実質的に直交する形で配線されている導電性ライン(例えば、ライン1277A、1277B、1277C)を含み、少なくとも2つの追加層が、第1、第2の基準軸に関して実質的に直交しない形で配線されている導電性ライン(例えば、ライン1279A、1279B、1281A、1281B)を含むシールド・メッシュを備えるICの配線アーキテクチャの一実施例を示している。図32の実施例では、ライン1277A、1277B、1277Cは、下側の金属層(例えば、IC内の第2、第3の金属層)であり、ライン1279A、1279Bは、ICの第4の金属層内にあり、ライン1281A、1281Bは、ICの第5の金属層内にある。図32は透明に(下側金属層が見えるように)描かれているICの一部の平面図であることは理解されるであろう。図32から、ライン1277A、1277B、1277Cは、ICのエッジであってもよい第1、第2の基準軸に対して実質的に直交するように配線されていることがわかるであろう。ライン1279A、1279Bは、これら2本の基準軸に対して非直交角度(例えば、約45°)で配線され、ライン1281A、1281Bもまた、これら2本の基準軸に関して非直交角度で配線されている。非直交角度は約45°であってよいが、他の角度も使用できることは理解されるであろう。
図19は、シールド・メッシュのないIP(知的所有権)ブロックが存在する場合の配線されている信号ラインの実施例の図である。IPブロックは、通常ベンダから購入又はライセンスされたあらかじめ設計されている回路の1ブロックである。このあらかじめ設計されているクロックは、通常完全に設計され、レイアウトされ、完全に配線されている(例えば、ブロック内の信号の内部配線は済んでおり、配線変更できない)。通常、あらかじめ設計されているブロックを含むより大きな設計のための基本ブロックとして使用されるIPブロックを設計した第1の設計者がいる。例えば、キーボードをスキャンするための回路(例えば、Intel 8051マイクロコントローラのようなブロック)の設計は、基板上のIC内に「ブラック・ボックス」として購入され組み込まれるようにできる。そのようなあらかじめ設計されているブロックの他の実施例としては、Virage Logic社のメモリ・ブロック又はARM社のロジック・コア(ブロック)がある。IPブロックのいくつかの態様は、通常、信号の整合性を保持するためIPブロックの回路の干渉が回避されるようにIPブロックを組み込むICの設計者には知られていない。例えば、ブロック905、901は、線913、915、917を通してIPブロック903に接続されている。信号ライン911は、ブロック905と901を接続する。IPブロックのいくつかの態様は、ICチップの設計時には知られていないため、IPブロックに属していない信号線により、IPブロックを通して、又はIPブロック上で信号線が配線される場合に信号整合性問題を引き起こす可能性があるかとかを容易に判別することはできない。IPブロックを通して、又はIPブロック上で線が配線された場合、その線とIPブロックの線(例えば、信号ライン907)との間の容量カップリングと誘導カップリングにより信号整合性問題が引き起こされる可能性がある。したがって、従来の方法では、線(例えば、ライン911)を、IPブロック上ではなく、図19に示されているように、IPブロックの回りに配線する。図19から、IPブロックはIPブロック内で信号を配線するため、またIPブロックの外側のブロック(例えば、ロジック回路)にIPブロックを接続するための少なくとも1つの配線層を含むことがわかるであろう。
本発明の複数の実施形態では、IPブロックの長い経路をIPブロック、例えば、あらかじめ設計されているブロックの一部である(及び一部として設計されている)シールド層を通して/その上でシールド・メッシュ内に配線することができ、またシールド・メッシュ内のチャネルは、IPブロックに属さない信号ライン(例えば、IPブロックに直接接続されていない、又はIPブロックから始まっていない信号)に使用することができるが、それは、信号ラインがIPブロック内のメッシュでシールドされている場合にカップリングの危険性がないからである。それとは別に、仮想的な配線経路を設定することができ、それぞれの配線経路はシールド・グリッドを通る経路にそった抵抗及び静電容量の関数に基づく限界を有し、その後、それらの配線経路は、チップの最上位レベルの配線の一部として完成される。これは、最上位レベルの配線に対しては大きな柔軟性をもたらす。IPブロックのうまくいった独立の配線経路をIPブロックの配線での成功を保証する出発点として使用することができる。
図20〜21は、本発明の複数の実施形態によるシールド・メッシュ内のIPブロックの領域を通して配線されている信号ラインの実施例の図である。図20のICの平面図では、あらかじめ設計されているIPブロックの一部として設計されているシールド・メッシュ930は、IPブロック903の線(例えば、ブロック903から始まり、ブロック903で終わるライン907)をシールドするために使用される。ブロック903の設計が完了し、さらに大きな設計で使用できる状態になった場合、ブロック903は、ブロック903から始まる、又はブロック903で終わるラインと共に、他のブロックから始まるがブロック903内の回路に直接には接続されていない信号ラインなどのブロック903の一部でないラインをも配線するために使用される一体の1つのシールド層(又は複数の層)を除く完全にレイアウトされた配線アーキテクチャを含む。したがって、ブロック903の設計のほとんどすべては完了しており、一般的にはブロック903をさらに大きな設計に組み込む過程では修正できないが、ブロック903内の一体のシールド層は変更することが可能である。基準電圧線(例えば、ライン935、937、及び939)は、IPブロックの配線領域(シールド・メッシュ930)に追加され、信号ラインをシールドする。ブロック905、901を接続する信号ライン931は、2層シールド・メッシュであるシールド・メッシュ930内で配線される。信号ライン931は、IPブロックの信号ライン(例えば、ライン907)からシールドされるため、信号ライン931は、信号整合性問題を引き起こさずにIPブロックの領域を通して配線することができる。図20はIPブロックの外からの信号ラインがシールド・メッシュ内のIPブロックの領域を通して配線される実施例のみを示しているが、この説明から、シールド・メッシュは、IPブロックの線からのIPブロックに属していない信号ラインをシールドするため数多くの様々な方法で使用できることは、当業者にとっては明白であろう。例えば、シールド・メッシュは、IPブロックの線を配線するための層の上の層内に置くことができ、他のブロックに対する信号ラインは、IPブロックの上のシールド・メッシュ内に配線される(例えば、信号ラインの下の層内の信号ラインと平行に走るシールド・ラインを使用して、信号ラインをシールド・ラインの下にある信号ラインからシールドすることができるが、層間シールドについての詳細は図5に例示されている)。さらに、IPブロックの複数の線をシールド・メッシュ内で再配線することができる。図21は、IPブロック903の線947が再配線されるそのような一実施例を示している。再配線された線の抵抗(及び/又は容量)は、再配線された線の特性の著しい変化が生じないように決定することができる。
シールド・グリッド内で危険を冒して信号を配線することの他に、高エッジ・レート信号のシールドされない最大配線長を制限することもできる。信号のシールドされない最大配線長を知るために、ドライバ、線、隣接線、関連するカップリングのRLC(抵抗、インダクタンス、及びキャパシタンス)モデルを作成することができる。隣接する線は加害配線と呼ばれ、配線される信号は被害配線と呼ばれる。最悪の場合のエッジ・レート(又はインダクタンスに対する電流立ち上げ)をモデルに入力して、信号整合性問題を引き起こすかどうかを調べる。その後、配線される信号(被害配線)のシールドされない最大長を短縮して、信号整合性が保持されるようにすることができる。シールドされない最大長を延長する方法の1つとして、シールドされることを要求することにより、加害配線のエッジ・レート(又はインダクタンスのdi/dt)を制限する方法がある。例えば、クロック・ネットは、大電流と高エッジ・レートの両方を持ち、したがって、長さのほとんどに対してシールドした配線を必要とする。他の高ファンアウト信号は、中程度のエッジ・レートであっても大電流を流す可能性がある。
完全な経路を得るためには、リスクしきい値を幾分超える個数の信号を残す必要があると思われる。それらの場合、信号の整合性を得るためには、ドライバのバッファリング/サイジング、潜在的被害配線と同時に遷移しない代替え近隣信号の選択、線を他の線から離し間隔をあけること、抵抗を下げるためにその長さの一部について線の幅を広げること、又は上又は下のシールド・グリッドに容易に接続することができるシールド線のドロップインなどの既存の技法を使用する。これは、リスク・レベルは被害配線上でシールドを一部使用することにより劇的に低減されているため、かなり容易になる。
図22は、本発明の一実施形態による集積回路の設計の流れ図である。この工程は、当業で知られているハードウェア記述言語(HDL)で所望の回路の記述を作成することから始めることができる。作成したこの記述をコンパイルすることで、レジスタ・トランスファ・レベル(RTL)記述などの他の記述を出力し、これをさらに、ロジック合成工程で処理することができる。操作1001では、ロジック合成を実行し、与えられた一組の機能を実行するロジック要素ネットワークを作成する。ロジック合成操作では、遅延、領域、その他の設計目標を最適化するためロジックを変形し、再構成する場合がある。ゲート・レベルのロジック素子は、チップ上のブロック内に配置されるベンダ特有のプリミティブにマッピングされる。操作1003では、ベンダ特有のプリミティブをチップ上に配置し、それらのプリミティブの間に配線を行う。それらの線の少なくとも一部は、シールド・メッシュ内に配線され、少なくとも2つの異なる電圧が供給される。操作1005で、タイミング要件などの様々な設計要件を満たし、パフォーマンスを最適化する分析と最適化を実行する。通常、ロジック素子の配置を変更せずにロジック素子の物理的特性(例えば、サイズ)を変更することによりインプレース最適化を実行してタイミングを最適化する。インプレース最適化では、通常、ロジック素子をあちこち移動せずにトランジスタのサイズを微調整する。通常、タイミング要件が満たされているかどうかを判別するために詳細な配置と配線情報に基づいてタイミング分析が実行される。操作1001〜1005の一部(又は全部)を何回も繰り返して、設計要件が満たされるようにし、設計を最適化することができる。図22の設計工程は、シールド・メッシュを含むICを生産するために本明細書で説明されている様々な方法及び工程と共に使用され、この設計工程は、システムにデジタル表現(例えば、HDLリスティング、未配置ネット・リスト、配置ネット・リストなど)を格納し、それらを操作するコンピュータ援用設計システムにより実行することができる。この表現には、シールド・メッシュとそのシールド・メッシュを通す配線に関する情報が含まれる。
図23は、本発明の一実施形態による集積回路の信号ラインを配線する方法を示す図である。操作1011では、1つの領域(例えば、領域の混雑レベルに応じて)に対する少なくとも2つの基準電圧(例えば、VCC及びGND)の複数の基準電圧線からなるメッシュを決定するが、そこには、信号ラインを配線するためメッシュ内の2本の隣接基準電圧線の間に少なくとも1つのトラックがある。本発明の一実施形態では、これらの基準電圧線を基準電圧毎に相互接続して(例えば、接続ビアを通して)、基準電圧メッシュを形成し、これにより接続部同士の間のセグメントを減らす。配線操作の前に見積もることができる、又は以前の配線操作から決定できる、混雑レベルに応じて、信号配線するための基準電圧線とトラックのパターン(例えば、図6〜10に示されているようなパターン)が決定される(又は選択される)。例えば、シールド・メッシュは、窓を付けて、窓の上又は下の層内の混雑している領域などの非常に混雑している領域に対する窓を囲むリング(例えば、図15〜18)を備えるようにでき、あるいは1つの層内のシールド・メッシュに、混雑度の低い領域については図10に示されているようなパターンを配置するか、又は1つの層内のシールド・メッシュに、図28Aに示されているようなパターンを配置することができる。操作1013では、メッシュ内の基準電圧線の間のトラックを使用して領域内に信号ラインを配線する。操作1015で、信号ラインを配線するのに十分な数のトラックがないと判断された場合、操作1021で、一部の線を他の層へ配線するか、又はこの混雑領域についてメッシュ構造(線パターン)を変更するか、さもなければ、操作1017で、信号ラインを配線するために未使用のトラックがないかどうかを調べる。未使用のトラック(図12のトラック565などの全く未使用のトラック、又は図12のトラック567などの部分的に未使用のトラック)がある場合、操作1019で、異なるメッシュを使用するのが望ましいかどうかを判定する。異なるメッシュを使用するのが望ましい場合、操作1023でメッシュ構造を変更し、シールド線用にさらに多くの領域を割り当てて、シールドの効果を改善し、電圧降下を最小限に抑えつつ電流を分配するメッシュの能力を高めることができるが、望ましくない場合、操作1025で、未使用のトラックを隣接基準電圧線と組み合わせて、対応する基準電圧線の幅を広げる(幅の広いシールド線を生成することで通電容量を増やす)。図23の実施例のいくつかの操作は任意選択であり、別の流れのシーケンスを使用することもできる。例えば、操作1019、1023は、本発明の一実施形態では実行されない。
図24は、本発明の一実施形態によるシールド・メッシュ内で信号ラインを配線する方法を示す図である。操作1031でシールド・メッシュ内で異なる基準電圧の線の間の信号整合性にとって重要な各信号ラインを配線した後、操作1033で、シールド・メッシュの線の間に残りの信号ラインを配線する。例えば、信号整合性にとって重要と考えられる、雑音の多い信号ライン(例えば、駆動強度が強い)及び長い信号線は、異なる電圧のシールド線の間(例えば、GNDとVCCの間)でシールドすると都合がよく、短い信号線の場合は、同じ電圧のシールド線の間(例えば、GND/GNDペア又はVCC/VCCペアの間)に配線することができる。操作1035では、シールド・メッシュ内の同じ基準電圧の隣接する線を信号ラインを配線するために使用されない1つ又は複数のトラックと組み合わせて、基準電圧用の単一の太い線を作る。図13に例示されているように、また上述のように隣接する線を組み合わせる様々な方法(例えば、異なる電圧の隣接するシールド・ラインの幅を広げるためトラックを分割すること、同じ電圧の隣接するシールド・ラインの間の領域を埋めること、又はトラックを1本の隣接するシールド・ラインと組み合わせることなど)を使用して、シールド・ラインの幅を広げることができる。
図25は、本発明の一実施形態によるシールドされていないラインに対する窓のあるシールド・メッシュ内で信号ラインを配線する方法を示す図である。この方法は、コンピュータ援用設計システムによる、設計対象のICの表現内にある複数の線と経路の混雑レベルの分析の結果として、始めることができる。これは、コンピュータ援用設計システムによる配線工程の初期段階で実行される(例えば、設計対象のICの使用可能な望むスペース内での信号ラインとその他のラインの配線に使用可能なスペースの推定などの、設計での混雑の推定としてか、又は設計における混雑のレベルを実際の配線操作の後に決定することができる。この方法では、ICの表現の少なくとも1つの層の少なくとも一部は、コンピュータ援用設計システムにより格納され、操作される設計の表現に持ち込まれたシールド・メッシュを含む。混雑のレベルの分析ではシールド・メッシュの存在を考慮し、これにより、設計されるICの信号ラインなどのラインの配線に使用可能な領域を減らす。この分析の結果、ICの表現内の混雑のレベルの判定結果が得られる。混雑のレベルが許容できないレベルであると判定された場合(例えば、配線密度が高すぎて、ICの所望のサイズつまり面積が指定されたときにIC上のラインの配線を正常に行えないか、又は配線密度がラインの最小幅などの所定の設計規則を超えている場合)、コンピュータ援用設計システムでは、シールド・メッシュ内に窓を導入し、その窓ではシールド密度が、少なくとも一部は窓を囲む又は窓に接するシールド・メッシュ内のシールド密度より低くなるようにする。窓のサイズを制限して、窓内でシールドされていない信号ラインの長さがほんのわずかであるようにできる。シールドされていない長さの短い信号ライン(シールドされていない信号ラインの長さが長いせいで信号がカップリングが増える傾向があるシールドされていない信号ラインの長い長さではなく)の間の最小カップリングを許容することが可能である。したがって、窓のサイズは、そのサイズを制限し、その結果窓内のシールドされていない信号ラインのサイズ(例えば、長さ)が制限されるように設計することができる。
コンピュータ援用設計システムにより実行される、操作1041では、メッシュ内の窓と共に少なくとも2つの基準電圧(例えば、VCC及びGND)に対する基準電圧線からなるメッシュを生成する。これは、コンピュータ援用設計システムがシールド・メッシュの表現の中に窓の表現を作成した結果実行される。本発明の一実施形態では、窓は幅広のシールド線により囲まれており、窓により引き起こされるシールド・メッシュ内のインピーダンスが低減され、本発明の他の実施形態では、異なる電圧のまばらなシールド線のセットが窓内で使用される。操作1043では、メッシュ内で第1の信号線を配線し、そこでは第1の信号線のそれぞれが層内の少なくとも1本の基準電圧線に隣接している。操作1045では、窓内で第2の信号線を配線し、そこでは第2の信号線のそれぞれが層内の他の2本の信号線の間にある。第2の信号線のうちのいくつかは窓内にすっぽり収めることができ、第2の信号線のいくつかは、一部は窓内に収めることができ、また一部はメッシュ内でシールドできる。第2の信号線に対して信号整合性の分析を実行し、IC内の信号整合性が保持されているかどうかを判別することができる。
図26は、本発明の一実施形態によるシールド・メッシュの窓内でシールドされていない、又は部分的にシールドされている信号ラインを配線する方法を示す図である。操作1051では、複数の基準電圧線からなるメッシュによりシールドされていない可能性のある信号線のシールドされていない最大(許容可能)長を決定する。本発明の一実施形態では、ドライバ、線、近隣の線のRLC(抵抗、インダクタンス、キャパシタンス)モデルを使用して、信号カップリングを決定する。隣接する線は加害配線と呼ばれ、配線される信号ラインは被害配線と呼ばれる。RLCモデルでは、最悪の場合の加害配線は「被害配線」の未シールド部分にそっていると想定する。RLCモデルを使用して、最悪の場合のエッジ・レート(又はインダクタンスの電流立ち上げ)の効果を分析し、信号整合性を評価する際の信号線のシールドされていない最大長を決定する。操作1053では、シールドされていない長さがシールドされていない最大長より短い信号線を配線できるかどうかを判別する。シールドされていない長さがシールドされていない最大長より短い状態で信号を配線できず、操作1055で、信号線の加害配線のシールド長を延長できないと判断された場合、操作1057で、従来の方法(例えば、バッファ/リピータを挿入すること、ドライバをサイジングすること、信号線と同時に遷移しない代替え近隣信号線を選択すること、信号線と加害配線との間の間隔を広げること、信号線の幅を広げること、シールド線を追加することなど)を適用して信号線の信号整合性をとる。そうでない場合、操作1059で、基準電圧線のメッシュ内で加害配線をシールドする(例えば、シールド・メッシュ内でシールドされていない加害配線の部分を減らす)。
本発明のいくつかの実施形態では、IPブロック(例えば、ブロック903などのあらかじめ設計されているブロック)の領域を通して又はその領域の上で外部信号を配線することができ、しかもIPブロックの内部信号付近に配線されている未知の信号により信号線整合性問題が引き起こされるおそれはない。
図27は、本発明の一実施形態によるシールド・メッシュ内の回路の事前設計されたブロック(IPブロックなど)の領域を通して信号ラインを配線する方法を示す図である。操作1061で、複数の基準電圧線からなるメッシュ内の回路のあらかじめ設計されているブロック(例えば、IPブロック)の複数の線の少なくとも一部をシールドする。操作1063で、メッシュを通して回路のあらかじめ設計されているブロックの一部ではない信号を配線し、あらかじめ設計されているブロックの複数の線から信号線をシールドする。操作1065で、回路のあらかじめ設計されているブロックの信号ラインの一部を再配線するのが望ましいと判定された場合、操作1067で、シールド・メッシュ内で信号ラインの一部を配線する。したがって、シールド・メッシュがIPブロックの配線領域に追加された後(ICの平面図において)、他のブロックの信号線は、IPブロックにより定められた領域を通して、又はその上で配線することができ、IPブロックの複数の線のうちいくつかを再配線することができる。
図31は、デカップリング容量を持つシールド・メッシュを含むICを設計する方法1250を説明する流れ図である。この方法は、図28B又は29Bに示されているようにシールド・メッシュを設計する際に使用することができる。方法1250は、ターゲットの(又は所望の)大きさのデカップリング容量が決定される操作1251を含む。操作1253で、使用可能な配線リソースの推定が行われる。操作1255で、使用可能な配線リソースの一部が差し引かれ、シールド・メッシュ内に追加基準電圧ライン(デカップリング容量として働く)を追加するためシールド・メッシュ内のトラックが保持される。操作1257で、シールド・メッシュの表現(例えば、コンピュータ援用設計表現)が作成され、操作1259で、信号ラインの表現がシールド・メッシュ内に配線される。シールド・メッシュ内のギャップ(例えば、ライン1201Cと1201Dとの間のギャップ)が、信号線の配線後使用可能であれば、操作1261で追加基準電圧ラインを加え、デカップリング容量の大きさを所望のデカップリング容量の大きさにまで高めることができる。
図33は、メッシュ内の複数の異なる種類のシールドを含むシールド・メッシュの一実施例を示している。図33は、ICの一部分の平面図であり、ICの2つの配線層を示し、さらに、ICの下側の層にあるいくつかのロジック回路(ロジックA、B、C)も示している。シールド・メッシュ1301は4つの二重層シールド・メッシュ1310、1312、1314、1316を含み、また少なくとも8つの単一層シールド・メッシュ1302、1303、1304、1305、1306、1307、1308、1309を含む。シールド線を含まないシールドされていない領域もあり、したがって、それらの領域内の信号ラインはシールドされていない。シールドされていない領域は、ロジックA(1370)を含む領域、ロジックB(1371)を含み、単一層シールド・メッシュ1302、1304、1306、1308により束縛されている領域、ロジックC(1372)を含む領域を含む。また、図33に示されているように、幅が広げられたVDDライン1324の左及び幅が広げられたVSSライン1327の右にシールドされていない領域がある。それぞれのシールド・メッシュは、第1の基準電圧(例えば、VDD)を供給するように設計されている第1の複数のライン(例えば、ライン1321、1323、1324、1326、1336、1338、1331、1333、1341、1343、1345、1347)及び第2の基準電圧(例えば、図33の場合のVSS)を供給するように設計されている第2の複数のライン(例えば、ライン1320、1322、1325、1327、1330、1332、1335、1337、1340、1342、1344、1346)を含む。太い基準電圧ラインほど(例えば、ライン1320、1321、1322、1323、1324、1325、1326、1327)シールド用に大きな通電容量を利用でき、シールド・メッシュ内の他の基準電圧ラインとの相互接続(例えば、接続ビア1350、1351、及び1352を通して)を実現する。層の間の接続ビアは図33では「X」で示されており、図4は、二重層シールド・メッシュ内の接続ビアの実施例を透視図で示している(図4の接続ビア301、303を参照のこと)。追加接続ビアを加えることで、シールド・メッシュの品質(例えば、インピーダンスの低減)を向上できる。接続ビアは、さらに、一方の層から一方の層の上又は下にある他方の層へ信号ラインを配線するためにも使用され、例えば、接続ビア1353、1354、1355、1356は、図33に示されているように、ロジックA(1370)からロジックB(1371)及びロジックC(1372)への信号ラインの配線に使用される。図33のアーキテクチャの単一層シールド・メッシュは、図29のシールド・メッシュに似ているが、二重反復パターン・シールド・メッシュ(例えば、図28に示されているような)は、代わりに、図33の単一層及び二重層シールド・メッシュのいずれか又は両方で使用することができることは理解されるであろう。シールド・メッシュにさらに基準電圧ラインを追加することにより、基準電圧間にバイパス容量を与えることができ(例えば、図28Bを参照のこと)、これらの追加基準電圧を加えることは、通常、ICのタイミング制約条件を満たす方法ですべての信号ラインを正しく配線できた後に実行される。二重層シールド・メッシュ1312は、他の二重層シールド・メッシュ1310、1314、1316よりわずかに大きく、追加二重層シールド・メッシュ容量をもたらし、他の二重層シールド・メッシュは、同様に、サイズを増やすことができる。同様に、単一層シールド・メッシュのうちの1つ又は複数は、幅広のVSS、VDDラインを超えて伸ばすことができる。
次に、シールド・メッシュ1301内の信号ラインの配線を、図33のロジックA、ロジックB、ロジックCを結合する信号線に関して説明する。追加信号ラインを持つ追加ロジック・ユニットも、図33のシールド・メッシュ内で配線できることは理解されるであろう。単一層シールド・メッシュ1308の下にあるシールドされていない領域内のロジックA(1370)は、両方ともロジックA(1370)のドライバにより駆動される出力とすることができる2本の信号ライン1373、1374を備える。信号ライン1373の第1の未シールド部分は、ロジックAから延びて、単一層シールド・メッシュ1308の下の領域へ配線される。接続ビア1353は、一方の層(例えば、第1の層)から、単一層シールド・メッシュ1308を含む他方の層(例えば、第2の層)へ信号ライン1373を電気的に接続し、その地点から信号ライン1373は単一層シールド・メッシュ1308内で配線され、二重層シールド・メッシュ1316内へと配線され、そこで信号ライン1373は、接続ビア1355を通して、第1の層上で、信号ライン1373の配線に電気的に接続され、信号ライン1373のこの配線は、単一層シールド・メッシュ1306内の使用可能なギャップ又はトラックにそって伸び、やがて接続ビア1356に到達する。接続ビア1356で、信号ライン1373は第2の層に配線され、シールドされていない領域内のメッシュ1306から離れる形で伸び、ロジックBに接続し、ロジックBの入力を駆動する。図33から、信号ライン1373のわずかな部分のみがシールドされておらず、このわずかな部分は信号ライン1373のシールドされていない許容可能な最大長以内にあることがわかる。図33のシールドされていない領域は、「窓」と呼べる(図15に示されている窓など、本明細書で説明されている窓と類似のもの)。
信号ライン1374は、ロジックA(1370)からロジックB(1372)に向かう途中に信号ライン1374が3つの単一層シールド・メッシュ及び2つの二重層シールド・メッシュを通過することを除き信号ライン1373と似た配線経路を持つ。信号ライン1374の第1の未シールド部分は、ロジックAから延びて、単一層シールド・メッシュ1308の下の領域へ配線される。接続ビア1354は、第1の層から、単一層シールド・メッシュ1308を含む第2の層へ信号ライン1374を電気的に接続し、その地点から信号ライン1374は、メッシュ1308内で配線され、二重層シールド・メッシュ1316内へと配線される。このメッシュ1316では、信号ライン1374は、ここでもまた第1の層上で、接続ビアを通して信号ライン1374の配線に電気的に接続され、メッシュ1306内の使用可能なギャップを通りやがて二重層シールド・メッシュ1374内の電気的接続ビア1357に到達し、その接続ビア1357により、信号ライン1374はライン1336と1337との間に配線されるが、まず最初に二重層シールド・メッシュ1314に入り、その後単一層シールド・メッシュ1304に入り、やがてライン1374は接続ビア1358に到達する。接続ビア1358で、信号ライン1374は、第1の層に配線され(ライン1336、1337を含む第2の層から)、メッシュ1304から離れる形で伸びてロジックCへの接続を含むシールドされていない領域に入り、そこで、信号ライン1374はロジックCに接続し、ロジックC(1372)内の入力を駆動する。シールド・メッシュを利用すると、高出力ドライバ(例えば、増幅器)を使用してシールドされている信号ライン(例えば、ライン1373及び/又は1374)を駆動することができることは理解されるであろう。
また、図33に示されているように、シールドされていない信号ライン1381、1383を通して追加ロジック・ユニット(例えば、1380というラベルの付いているロジックD)を、ロジックB及びロジックDの両方を含むシールドされていない領域内のロジックBに接続できることも理解されるであろう。図33に示されている実施例では、シールドなしで信号ライン1381、1383を配線することが可能であるが、なぜなら、それらのラインは十分に短く(例えば、信号ライン1381、1383のRLCモデルから計算で求められたシールドされていない最大長が図33に示されている配線された信号ライン1381、1383のシールドされていない実際の長さより短い)、シールドの必要がないからである。また、あらかじめ設計されているブロック(例えば、それ自体の一体となっているシールド・メッシュを持つ、又は持たないIPブロック)をシールドされていない領域に組み込むことができることも理解されるであろう。
シールド・メッシュ1301の代替えでは、シールド・メッシュ1301に類似しているが、メッシュの1つ又は複数の部分が他の層(例えば、二重層シールド・メッシュ1310を含む2つの層以外の)に存在する構造を使用することができる。例えば、長い主に単一の層のメッシュ(例えば、メッシュ1306、1308)及びそのシールドされている信号ライン(例えば、1373、1374)のうちの1つ又は複数を、二重層シールド・メッシュ130、1316を持つ2つの層にそった経路の一部に対し配線し、その後、接続ビアを通して下又は上へ配線して他の層につなぎ、その後、二重層メッシュ1310、1316を持つ2つの層に戻すことができる。したがって、クロスオーバー領域(二重層メッシュ領域)の間の単一層メッシュ配線は、他の層に変えることができる。特定の一実施形態では、信号ラインがシールドされているこれらの単一層メッシュ配線は、ゲート・アレイや構造化ASICなどに見られる層などの加工済み又は構成済みの層上に配置することができ、そこでは、いくつかのメッシュ層は異なる設計においても固定保持され、これにより構成可能な層上に配線リソースを保存する。この場合、基準電圧間の未使用の配線トラックを使用して、パワー・グリッドの通電容量を増やし、グリッドのバイパス静電容量を与えることができる。また、これらの配線トラックのサブセットをリピータと共に事前に構成し、非常に長い距離にわたって配線されている信号のパフォーマンス及び信号整合性を改善することができる。
図34A、34B、35は、ICを設計するための他の方法の実施例を示している。図35の操作1450は、技術独立のHDL(ハードウェア記述言語)を、技術依存のRTLネット・リストに変換されるRTL(レジスタ・トランスファ・レベル)記述にコンパイルした後から始まる。他の技法も、RTLネット・リストを作成するために使用することができる。操作1450では、物理的合成が、RTL回路記述(例えば、RTLネット・リスト)、タイミング制約条件、フロアプラン(もしあれば)を使用して実行され、この物理的合成により、ロジック・プリミティブがネット・リスト内に配置され、任意選択で、混雑度推定が生成される(例えば、使用可能な配線リソースに関する配線の量の推定)。操作1452では、シールド・メッシュが計画される。シールド・メッシュは、混雑している領域内の配線用の任意選択の穴又は窓を含むことができる。その後、操作1454で、信号ラインが、信号ラインの暴露規則に基づき、またメッシュ内の好ましいトラックの使用に基づいて、シールドされている、又はシールドされていない層又は領域内で配線される。これらの暴露規則は、(a)可能ならば、シールド・メッシュ内の逆方向基準電圧ラインの間で長い信号ラインを配線すること、(b)シールド・メッシュ内の逆方向基準電圧ラインの間で雑音の多い信号ライン(例えば、高エッジ・レートが予測できるライン)を配線すること、(c)シールド・メッシュ内でクロック・ラインを配線すること、(d)シールド・メッシュ内で、計算で求められたシールドされていない最大ライン長を超える長さを持つ、信号ラインを配線すること、(e)他の隣接する信号ラインとほぼ同時に信号状態の遷移(例えば、高から低へ、低から高へ)を生じる信号ラインをシールド・メッシュ内に配線すること、及び(f)ブロック内の一体となっているシールド層を通してあらかじめ設計されているブロック(例えば、IPブロック)に直接的には接続されていない信号ラインを配線することを含む。他の暴露規則及び好ましいトラックも、本明細書で説明する。操作1454の結果の例が図34Aに示されており、そこでは、2層シールド・メッシュ1401は第1の基準電圧(この例ではVSS)を供給する第1の複数の基準電圧ライン1402、1406、1410、1414、及び1418、及び第2の基準電圧(この例ではVDD)を供給する第2の複数の基準電圧ライン1404、1408、1412、及び1416を含む。これらの基準電圧ラインを合わせて、2層シールド・メッシュ1401が形成される。操作1454では、シールド・メッシュ1401を通して信号ラインS1(1420)、S2(1422)、S3(1424)、S4(1426)、S5(1428)の配線に成功している。層の間の接続ビアは「X」で示されている。信号ラインS6(1430)は、操作1454の第1の実行により配線に成功しておらず、これは、図34Aに、信号ラインS6の上側部分と下側部分との間の接続の欠如として示されている。このような信号ラインの配線の失敗は、図35の操作1456で検出され、その結果、システムは操作1460を実行する。操作1456では、さらに、信号ラインの配線の結果タイミング的にクリティカルな信号が遅れすぎるようになってしまった(例えば、クリティカル・パス信号ラインの迂回で、信号ラインが負のスラックを持つことになった)かどうかを検出し、これが検出された場合、操作1460がさらに実行される。操作1460と操作1454のリピートの結果の例は図34Bに示されており、操作1460では、シールド・ライン1404の一部が除去可能であること、及びシールド・ラインが除去され、シールド・ライン1404A、1404Bで置き換えられ、ギャップが残され、図34Bに、ライン1404用にすでに確保されているトラックを通して配線されているように示されている信号ラインS6(1430A)を配線することができることを示している。操作1456で配線が成功したことを判別した後、操作1458で、バイパス静電容量ラインを基準電圧ラインの間の開いているスロット内に加えることができる。例えば、シールド・メッシュ1401Aの場合、追加基準電圧ライン(例えば、VSS)を基準電圧ライン1410、1412及び/又はライン1416、1418との間に追加することができる。増やす追加基準電圧ラインの数は、シールド・メッシュのデカップリング又はバイパス静電容量の所望の、又は目標とする大きさに左右される。
本発明のいくつかの実施例は、基板グリッドと位置が揃えられているシールド・メッシュと共に例示されているが、シールド・メッシュの複数の線は、どのグリッドとも揃えられていなくてもよい。さらに、層内のシールド・メッシュの複数の線は同じ方向に引き回す必要はない。ルータは、信号線を配線しつつ基板内にシールド・メッシュを導入することができ、また、シールド・メッシュは、ルータが新しいシールド線を導入し、シールド線とトラックを組み合わせて間に入れ、信号を配線するためいくつかのシールド線を除去するなどの作業を行いながらルーチン操作で展開することができる。
本発明の一実施形態では、完全に接続されている電源とグラウンドのシールド・メッシュを使用することにより、容量カップリングと誘導カップリングを除去することができる。このメッシュに対する主電源は、比較的雑音がない場合、主パワー・グリッド・トランク、独立の電源、グランド・トランク、及び/又はシールド用に安定化されている他の基準電圧である。シールド・メッシュは、さらに、2つより多い基準電圧に接続することができる。
シールド・メッシュは、標準セル又はゲート・アレイ配線領域、配線チャネル又はハードマクロの上の配線チャネル、データ・バス配線、制御バス配線、アドレス・バス配線、アナログ信号配線、クロック及びクロック・バス配線、又はその他の信号ラインでも使用できる。通常、基準電圧(及び基準電圧を印加するライン)は時間と共に変動しないものとされていることは理解されるであろう、つまり、これらのラインは、時間が経過しても比較的安定した電圧を印加することが意図されているということである。他方、信号ラインは、回路の動作の結果として時間の経過と共に変動することが意図(及び予期)されている。
それぞれ完全に接続され、2つ以上の基準電圧で電力が供給されている、2つ以上の織り合わされたメッシュを使うと、自動化されたチップ配線はなおさら心配要らずであり、容量カップリングと誘導カップリングに起因する信号整合性問題は実質的に根絶できる。
本発明のほとんどの実施形態は信号配線ソフトウェアを含むシステム(例えば、配置及び配線システム又は物理的合成システム)で使用することを意図されているが、本発明は、そのような使用に必ずしも限定されない。他の言語及びコンピュータ・プログラムを使用することも可能であるが(例えば、ハードウェアを記述するコンピュータ・プログラムを書いて、これをHDLによる表現とみなし、コンパイルするか、又は本発明では、いくつかの実施形態において、HDLを使用せずに作成されたロジック表現、例えばネット・リストの割り当て及び割り当て変更を行うことができる)、本発明の実施形態は、HDL合成システムと物理的合成システム、特にベンダ特有の技術/アーキテクチャを有する集積回路を使用するために設計されているシステムで使用するという状況において説明されている。よく知られているように、ターゲット・アーキテクチャは、通常、プログラマブルICの製造会社により決定される。ターゲット・アーキテクチャの実施例としては、NEC社のISSP(Instant Silicon Solution Platforms)デバイス及びLSI Logic社のRapid Chipデバイスなどの構造化ASICターゲットがある。いくつかの好ましい実施形態に関して、本発明は、特定用途向け集積回路(ASIC)と共に使用することができる。
本明細書では、発明は特定の実施例を参照しつつ説明がなされている。本発明は、付属の請求項で定められているように、本発明の広い精神と範囲を逸脱することなく様々な修正を加えられることは明白であろう。したがって、明細書及び図面は、限定ではなく、説明することを目的としているものとみなすべきである。
本発明と共に使用できるデータ処理システムのブロック図の例である。 シールド・ライン及び信号ラインの平面図である。 本発明の一実施形態による2層シールド・メッシュによりシールドされている信号ラインの平面図である。 本発明の一実施形態による2層シールド・メッシュによりシールドされている信号ラインの透視図である(2層シールド・メッシュ間の絶縁層は示されていない)。 本発明の一実施形態による多層シールド・メッシュによりシールドされている信号ラインの縦断面図である。 本発明の複数の実施形態による層内の信号ラインをシールドするためのシールド・メッシュの実施例の図である。 本発明の複数の実施形態による層内の信号ラインをシールドするためのシールド・メッシュの実施例の図である。 本発明の複数の実施形態による層内の信号ラインをシールドするためのシールド・メッシュの実施例の図である。 本発明の複数の実施形態による層内の信号ラインをシールドするためのシールド・メッシュの実施例の図である。 本発明の複数の実施形態による層内の信号ラインをシールドするためのシールド・メッシュの実施例の図である。 本発明の複数の実施形態による2層シールド・メッシュによりシールドされている信号ラインの詳細な実施例の図である。 本発明の複数の実施形態による2層シールド・メッシュによりシールドされている信号ラインの詳細な実施例の図である。 本発明の複数の実施形態による2層シールド・メッシュによりシールドされている信号ラインの詳細な実施例の図である。 本発明の一実施形態によるパワー・グリッドと共に接続されている2層シールド・メッシュの詳細な実施例の図である。 本発明の一実施形態によるシールドされていない信号ライン用の窓のある2層シールド・メッシュの透視図である。 本発明の複数の実施形態によるシールドされてない信号ライン用の窓のある2層シールド・メッシュの詳細実施例の平面図である。 本発明の複数の実施形態によるシールドされてない信号ライン用の窓のある2層シールド・メッシュの詳細実施例の平面図である。 本発明の複数の実施形態によるシールドされてない信号ライン用の窓のある2層シールド・メッシュの詳細実施例の平面図である。 シールド・メッシュのないIPブロックが存在する場合の配線されている信号ラインの実施例の図である。 本発明の複数の実施形態によるシールド・メッシュ内のIPブロックの領域を通して配線されている信号ラインの実施例の図である。 本発明の複数の実施形態によるシールド・メッシュ内のIPブロックの領域を通して配線されている信号ラインの実施例の図である。 本発明の一実施形態による集積回路の設計の流れ図である。 本発明の一実施形態による集積回路の信号ラインを配線する方法を示す図である。 本発明の一実施形態によるシールド・メッシュ内で信号ラインを配線する方法を示す図である。 本発明の一実施形態によるシールドされていないラインに対する窓のあるシールド・メッシュ内で信号ラインを配線する方法を示す図である。 本発明の一実施形態によるシールド・メッシュの窓内でシールドされていない、又は部分的にシールドされている信号ラインを配線する方法を示す図である。 本発明の一実施形態によるシールド・メッシュ内の回路の事前設計されたブロックの領域を通して信号ラインを配線する方法を示す図である。 A:本発明の他の実施例のシールド・メッシュの平面図である。 B:信号ラインがメッシュ内に配線された後、及びデカップリング容量用の追加基準電圧ラインがメッシュ内に配線された後のAのシールド・メッシュの平面図である。 A:他のシールド・メッシュの平面図である。 B:信号ラインがメッシュ内に配線された後、及びデカップリング容量用の追加基準電圧ラインがメッシュ内に配線された後のAのシールド・メッシュの平面図である。 図12のシールド・メッシュに似た、2層シールド・メッシュの平面図である(介在する絶縁層を示していない)。 ICを設計する方法の一実施例を示す流れ図である。 2つの他の層(2つの他の金属層、例えば、「金属2」及び「金属3」層など)に関するシールド・メッシュの2層の平面図である。 シールド・メッシュのいくつかの部分には2層を有し、他の部分には1層を有するシールド・メッシュの他の実施形態の平面図である。 他の実施形態によるICを設計する過程で変化するシールド・メッシュの平面図である。 本発明の他の態様によりICを設計する方法の実施例を示す流れ図である。

Claims (22)

  1. 基板内に配置された第1の複数の信号ラインと、
    第1の基準電圧用の第1の複数の接続線と第2の基準電圧用の第2の複数の接続線とを含むシールド・メッシュと
    を備え、
    前記第1の複数の前記信号ラインのそれぞれの少なくとも第1の部分は、隣接する信号ラインから前記第1の複数の接続線のうちの1本と前記第2の複数の接続線のうちの1本との間でシールドされ、
    少なくとも第1の信号ライン、第2の信号ラインおよび第3の信号ラインを備える前記第1の複数の信号ラインの第2の部分は、前記第1、第2の複数の接続線により決められる領域内で互いに隣接し、少なくとも一部分はシールドされておらず、そして
    信号整合性問題を引き起こさない前記第1の信号ラインは前記領域内の前記第2の信号ラインと前記第3の信号ラインの間の位置で前記第1の複数の接続線の少なくとも1本に置き換わる、
    集積回路(IC)デバイス。
  2. 集積回路(IC)デバイスを設計する方法であって、
    基板内の、第1の基準電圧に対する第1の複数の接続線と第2の基準電圧に対する第2の複数の接続線を含むシールド・メッシュの表現を決定するステップと、そして
    前記基板内の第1の複数の信号ラインの表現を配線する際に、隣接する信号ラインから前記第1の複数の接続線のうちの1本と前記第2の複数の接続線のうちの1本との間の前記第1の複数の前記信号ラインのそれぞれの少なくとも第1の部分をシールドするステップと
    を含み、
    少なくとも第1の信号ライン、第2の信号ラインおよび第3の信号ラインを備える前記第1の複数の信号ラインの第2の部分は、前記第1、第2の複数の接続線により決められる領域内で互いに隣接し、少なくとも一部分はシールドされておらず、そして
    信号整合性問題を引き起こさない前記第1の信号ラインは前記領域内の前記第2の信号ラインと前記第3の信号ラインの間の位置で前記第1の複数の接続線の少なくとも1本に置き換わる、
    方法。
  3. デジタル処理システムにより実行されたとき集積回路(IC)デバイスを設計する方法を前記システムに実行させる実行可能コンピュータ・プログラム命令を格納する機械可読媒体であって、前記方法は、
    基板内の、第1の基準電圧のための第1の複数の接続線と第2の基準電圧のための第2の複数の接続線を含むシールド・メッシュの表現を決定するステップと、そして
    前記基板内の第1の複数の信号ラインの表現を配線する際に、隣接する信号ラインから前記第1の複数の接続線のうちの1本と前記第2の複数の接続線のうちの1本との間の前記第1の複数の前記信号ラインのそれぞれの少なくとも第1の部分をシールドするステップと
    を含み、
    少なくとも第1の信号ライン、第2の信号ラインおよび第3の信号ラインを備える前記第1の複数の信号ラインの第2の部分は、前記第1、第2の複数の接続線により決められる領域内で互いに隣接し、少なくとも一部分はシールドされておらず、そして
    信号整合性問題を引き起こさない前記第1の信号ラインは前記領域内の前記第2の信号ラインと前記第3の信号ラインの間の位置で前記第1の複数の接続線の1本に置き換わる、
    機械可読媒体。
  4. 集積回路(IC)を設計する方法であって、
    前記ICの設計の表現で、第1の単一層シールド・メッシュと前記第1の単一層シールド・メッシュに結合されている第1の二重層シールド・メッシュを含むシールド・メッシュの表現を作成するステップと、そして
    前記第1の単一層シールド・メッシュに隣接する前記ICの前記シールド・メッシュの第1の領域内に配置された第1の未シールド部分を第2の信号ラインと前記第3信号ラインの間に備え、前記第1の単一層シールド・メッシュ内に配置された第1のシールド済み部分を備え、前記第1の二重層シールド・メッシュ内に配置された第2のシールド済み部分を備える第1の信号ラインの表現を作成するステップと
    を含み、
    信号整合性問題を引き起こさない前記第1の未シールド部分は前記シールド・メッシュの前記第1の領域内の前記第2の信号ラインと前記第3信号ラインの間の位置で基準電圧ラインに置き換わる、
    方法。
  5. デジタル処理システムにより実行されたとき集積回路(IC)を設計する方法を前記システムに実行させる実行可能コンピュータ・プログラム命令を格納する機械可読媒体であって、前記方法は、
    前記ICの設計の表現で、第1の単一層シールド・メッシュと前記第1の単一層シールド・メッシュに結合されている第1の二重層シールド・メッシュを含むシールド・メッシュの表現を作成するステップと、そして
    前記第1の単一層シールド・メッシュに隣接する前記ICの前記シールド・メッシュの第1の領域内に配置された第1の未シールド部分を第2の信号ラインと第3信号ラインの間に備え、前記第1の単一層シールド・メッシュ内に配置された第1のシールド済み部分を備え、前記第1の二重層シールド・メッシュ内に配置された第2のシールド済み部分を備える第1の信号ラインの表現を作成するステップと
    を含み、
    信号整合性問題を引き起こさない前記第1の未シールド部分は前記シールド・メッシュの前記第1の領域内の前記第2の信号ラインと前記第3信号ラインの間の位置で基準電圧ラインに置き換わる、
    機械可読媒体。
  6. 集積回路(IC)の第1の層内に配置された少なくとも第1の部分と前記第1の層および第2の層内に配置された少なくとも第2の部分とを備えるシールド・メッシュであって、第1の単一層シールド・メッシュと前記第1の単一層シールド・メッシュに結合されている第1の二重層シールド・メッシュとを有するシールド・メッシュと、
    前記第1の単一層シールド・メッシュに隣接する前記ICの前記シールド・メッシュの第1の領域内に配置された第1の未シールド部分を2の信号ラインと信号ラインの間に備え、前記第1の単一層シールド・メッシュ内に配置された第1のシールド済み部分を備え、前記第1の二重層シールド・メッシュ内に配置された第2のシールド済み部分を備える少なくとも第1の信号ラインと
    を備え、
    信号整合性問題を引き起こさない前記第1の未シールド部分は前記シールド・メッシュの前記第1の領域内の前記第2の信号ラインと前記第3信号ラインの間の位置で基準電圧ラインに置き換わる
    集積回路(IC)デバイス。
  7. 少なくとも1つの追加ブロックを備えるICの設計の表現に少なくとも1つの一体のシールド層を有するロジック回路のあらかじめ設計されているIP(知的所有権)ブロックの表現を組み込むステップと、そして
    前記少なくとも1つの追加ブロックから前記少なくとも1つの一体のシールド層を通して少なくとも1本の信号ラインを配線するステップと
    をさらに含む、
    請求項2に記載の集積回路(IC)を設計する方法。
  8. 一体のシールド層を有する、前記IC内のロジック回路のあらかじめ設計されているIP(知的所有権)ブロックと、そして
    前記一体のシールド層を通して配線される、ロジック回路の追加ブロックからの少なくとも1本の信号ラインと
    をさらに備える請求項1に記載の集積回路(IC)デバイス。
  9. 各々が前記シールド・メッシュ内の2つ以上の線を結合するノード間の前記第1および第2の複数の接続線のセグメントの平均長さが、前記第1の複数の信号ラインの平均長さよりも小さいことを特徴とする請求項1に記載の集積回路(IC)デバイス。
  10. 前記第1の複数の接続線と前記第2の複数の接続線は前記基板内の2つの層内にあり、
    第1の複数のビアは前記第1の複数の接続線を接続し、
    第2の複数のビアは前記第2の複数の接続線を接続し、そして
    前記第1および第2の複数のビアは前記第1および第2の複数の接続線を前記第1の複数の信号ラインの平均長さよりも短いセグメントに分割することを特徴とする請求項1に記載の集積回路(IC)デバイス。
  11. 前記第1の基準電圧は電源であり、そして前記第2の基準電圧は接地であることを特徴とする請求項1に記載の集積回路(IC)デバイス。
  12. 前記基板内に配置された第2の複数の信号ラインをさらに備え、前記第2の複数の信号ラインの各々は前記第1の複数の接続線の2つの間で隣接する信号ラインからシールドされていることを請求項1に記載の特徴とする集積回路(IC)デバイス。
  13. 前記第1の複数の接続線の第1の線は前記第1および第2の複数の接続線の1つよりも幅広であることを特徴とする請求項1に記載の集積回路(IC)デバイス。
  14. 前記集積回路(IC)デバイスの第1の層上に前記第1の基準電圧のための第1の線をさらに備え、
    前記第1の複数の接続線は第2の線を備え、
    前記第2の複数の接続線は第3の線を備え、
    前記第2および第3の線は前記集積回路(IC)デバイスの前記第1の層上にあり、
    前記第1の線は前記第2の線と前記第3の線との間にあり、そして
    前記第2および第3の線は前記第1の線に隣接している
    ことを特徴とする請求項1に記載の集積回路(IC)デバイス。
  15. 前記集積回路(IC)デバイスの第1の層上に前記第1の基準電圧のための第1の線をさらに備え、
    前記第1の複数の接続線は第2の線と第3の線を備え、
    前記第2および第3の線は前記集積回路(IC)デバイスの前記第1の層上にあり、
    前記第1の線は前記第2の線と前記第3の線との間にあり、そして
    前記第2および第3の線は前記第1の線に隣接している
    ことを特徴とする請求項1に記載の集積回路(IC)デバイス。
  16. 前記第1の信号ラインは、また、第2の単一層シールド・メッシュ内に配置されている第3のシールド部分と、前記集積回路(IC)デバイスの第2の領域内に配置され、前記第2の単一層シールド・メッシュに隣接している第2のシールドされていない部分とを備えることを特徴とする請求項4に記載の方法。
  17. 前記第1の信号ラインの前記表現を作成する前記ステップは、
    前記第1のシールドされていない部分を前記第1の単一層シールド・メッシュに配線するステップと、
    前記第1のシールド部分を前記第1の単一層シールド・メッシュ内に配線するステップと、そして
    前記第2のシールド部分を前記第1の二重層シールド・メッシュ内に配線するステップと
    を備えることを特徴とする請求項4に記載の方法。
  18. 前記第1の単一層シールド・メッシュと前記第1の二重層シールド・メッシュはそれぞれ、
    第1の基準電圧を提供するように設計された第1の複数の基準電圧ラインと、そして
    第2の基準電圧を提供するように設計された第2の複数の基準電圧ラインと
    を備えることを特徴とする請求項4に記載の方法。
  19. 前記ロジック回路のあらかじめ設計されたブロックはICの設計に責任のある第2のICオーナーが利用できない複数の設計情報を有し、そして前記ロジック回路のあらかじめ設計されたブロックは前記複数の設計情報へのアクセスを制御する第1のICオーナーにより設計されることを特徴とする請求項7に記載の方法。
  20. 前記ロジック回路のあらかじめ設計されたブロックは半導体製造プロセス用に設計されレイアウトされることを特徴とする請求項7に記載の方法。
  21. 前記少なくとも1つの一体のシールド層は前記第1の基準電圧を提供するように設計されている第1の複数のラインと、
    前記第2の基準電圧を提供するように設計されている第2の複数のラインと
    を備え、
    前記第1および第2の複数のラインは交互に配列され、かつそれらの長さの少なくとも一部分が平行である
    ことを特徴とする請求項7に記載の方法。
  22. 前記デジタル処理システムにより実行されたとき前記集積回路(IC)デバイスを設計する前記方法を前記システムにさらに実行させる実行可能コンピュータ・プログラム命令を格納する機械可読媒体であって、
    前記方法は、
    少なくとも1つの付加ブロックを有するIC設計の表現において少なくとも1つの一体のシールド層を有するロジック回路のあらかじめ設計されたブロックの表現を組み込むステップと、
    前記少なくとも1つの一体のシールド層を介して前記少なくとも1つの付加ブロックから少なくとも1つの信号ラインを配線するステップと
    をさらに含む
    請求項3に記載の機械可読媒体。
JP2004524962A 2002-07-29 2003-07-24 集積回路デバイスと集積回路デバイスを設計するための方法及び装置 Expired - Lifetime JP5281731B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39976002P 2002-07-29 2002-07-29
US60/399,760 2002-07-29
PCT/US2003/023559 WO2004012107A2 (en) 2002-07-29 2003-07-24 Integrated circuit devices and methods and apparatuses for designing integrated circuit devices

Publications (3)

Publication Number Publication Date
JP2005535118A JP2005535118A (ja) 2005-11-17
JP2005535118A5 JP2005535118A5 (ja) 2006-08-17
JP5281731B2 true JP5281731B2 (ja) 2013-09-04

Family

ID=31188615

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004524962A Expired - Lifetime JP5281731B2 (ja) 2002-07-29 2003-07-24 集積回路デバイスと集積回路デバイスを設計するための方法及び装置

Country Status (6)

Country Link
US (3) US7943436B2 (ja)
EP (1) EP1546946A2 (ja)
JP (1) JP5281731B2 (ja)
KR (4) KR101100048B1 (ja)
AU (1) AU2003254227A1 (ja)
WO (1) WO2004012107A2 (ja)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7739624B2 (en) * 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US7943436B2 (en) * 2002-07-29 2011-05-17 Synopsys, Inc. Integrated circuit devices and methods and apparatuses for designing integrated circuit devices
US7197738B1 (en) * 2002-08-09 2007-03-27 Cadence Design Systems, Inc. Method and apparatus for routing
US7624367B2 (en) 2002-11-18 2009-11-24 Cadence Design Systems, Inc. Method and system for routing
US7480885B2 (en) 2002-11-18 2009-01-20 Cadence Design Systems, Inc. Method and apparatus for routing with independent goals on different layers
JP3781736B2 (ja) * 2003-05-09 2006-05-31 ローム株式会社 半導体装置及びこれを用いたオーディオ機器
US7131084B2 (en) * 2003-12-09 2006-10-31 International Business Machines Corporation Method, apparatus and computer program product for implementing automated detection excess aggressor shape capacitance coupling in printed circuit board layouts
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
JP2005347591A (ja) * 2004-06-04 2005-12-15 Matsushita Electric Ind Co Ltd スタンダードセル、スタンダードセル方式の半導体集積回路装置および半導体集積回路装置のレイアウト設計方法
US7571408B1 (en) * 2005-03-09 2009-08-04 Cadence Design Systems, Inc. Methods and apparatus for diagonal route shielding
US7472368B2 (en) * 2005-03-24 2008-12-30 International Business Machines Corporation Method for implementing vertically coupled noise control through a mesh plane in an electronic package design
US7694258B1 (en) 2005-08-01 2010-04-06 Cadence Design Systems, Inc. Method and apparatus for inserting metal fill in an integrated circuit (“IC”) layout
US7472366B1 (en) * 2005-08-01 2008-12-30 Cadence Design Systems, Inc. Method and apparatus for performing a path search
US7299446B2 (en) * 2005-08-16 2007-11-20 Lsi Corporation Enabling efficient design reuse in platform ASICs
JP2007194496A (ja) * 2006-01-20 2007-08-02 Toshiba Corp 半導体集積回路
WO2008143213A1 (ja) * 2007-05-18 2008-11-27 Sharp Kabushiki Kaisha 表示装置
EP2149914B1 (en) * 2007-05-18 2013-07-10 Sharp Kabushiki Kaisha Display device
CN101600985B (zh) * 2007-05-18 2011-02-02 夏普株式会社 显示装置
JP2009054760A (ja) * 2007-08-27 2009-03-12 Nec Electronics Corp 半導体装置、配線設計方法、配線設計装置、及びプログラム
JP2009123993A (ja) * 2007-11-16 2009-06-04 Nec Electronics Corp 半導体集積回路装置
JP2009231513A (ja) * 2008-03-21 2009-10-08 Elpida Memory Inc 半導体装置
JP2009245961A (ja) * 2008-03-28 2009-10-22 Nec Electronics Corp 半導体集積回路
JP5350681B2 (ja) * 2008-06-03 2013-11-27 ルネサスエレクトロニクス株式会社 半導体装置
US8566776B2 (en) * 2008-11-13 2013-10-22 Qualcomm Incorporated Method to automatically add power line in channel between macros
JP2011100989A (ja) * 2009-10-09 2011-05-19 Renesas Electronics Corp 半導体装置
US8288657B2 (en) * 2009-10-12 2012-10-16 International Business Machines Corporation Noise coupling reduction and impedance discontinuity control in high-speed ceramic modules
JP6066542B2 (ja) 2010-11-18 2017-01-25 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置
US8927879B2 (en) 2010-11-22 2015-01-06 International Business Machines Corporation Crosstalk reduction between signal layers in a multilayered package by variable-width mesh plane structures
JP5603768B2 (ja) * 2010-12-28 2014-10-08 株式会社東芝 半導体集積回路の配線方法、半導体回路配線装置および半導体集積回路
US8847393B2 (en) * 2011-02-28 2014-09-30 Freescale Semiconductor, Inc. Vias between conductive layers to improve reliability
KR101128063B1 (ko) 2011-05-03 2012-04-23 테세라, 인코포레이티드 캡슐화 층의 표면에 와이어 본드를 구비하는 패키지 적층형 어셈블리
US8423940B2 (en) * 2011-08-15 2013-04-16 International Business Machines Corporation Early noise detection and noise aware routing in circuit design
US8836136B2 (en) 2011-10-17 2014-09-16 Invensas Corporation Package-on-package assembly with wire bond vias
US8832632B1 (en) * 2011-10-27 2014-09-09 Synopsys Taiwan Co., LTD. Compact routing
JP6015018B2 (ja) * 2012-02-07 2016-10-26 株式会社リコー 製品全体エレキ仕様の編集・検証システム
US9003349B1 (en) 2013-06-28 2015-04-07 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing a physical electronic design with area-bounded tracks
US9251299B1 (en) 2013-06-28 2016-02-02 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for associating track patterns with rules for electronic designs
US8601425B2 (en) * 2012-04-12 2013-12-03 International Business Machines Corporation Solving congestion using net grouping
US9117052B1 (en) 2012-04-12 2015-08-25 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for interactively implementing physical electronic designs with track patterns
US8984465B1 (en) 2013-06-28 2015-03-17 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for automatically assigning track patterns to regions for physical implementation of an electronic design
US8835228B2 (en) 2012-05-22 2014-09-16 Invensas Corporation Substrate-less stackable package with wire-bond interconnect
US8964453B2 (en) 2012-06-28 2015-02-24 Synopsys, Inc. SRAM layouts
US9213793B1 (en) 2012-08-31 2015-12-15 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic designs using flexible routing tracks
US9104830B1 (en) * 2013-06-28 2015-08-11 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for assigning track patterns to regions of an electronic design
US8949761B2 (en) * 2012-11-30 2015-02-03 International Business Machines Corporation Techniques for routing signal wires in an integrated circuit design
US8866306B2 (en) * 2013-01-02 2014-10-21 International Business Machines Corporation Signal path and method of manufacturing a multiple-patterned semiconductor device
US9026973B2 (en) * 2013-03-14 2015-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for arbitrary metal spacing for self-aligned double patterning
US8843869B1 (en) * 2013-03-15 2014-09-23 Globalfoundries Inc. Via insertion in integrated circuit (IC) designs
US9190392B1 (en) * 2013-05-20 2015-11-17 Sandia Corporation Three-dimensional stacked structured ASIC devices and methods of fabrication thereof
US9165103B1 (en) 2013-06-28 2015-10-20 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for tessellating and labeling routing space for routing electronic designs
US9167710B2 (en) 2013-08-07 2015-10-20 Invensas Corporation Embedded packaging with preformed vias
US9583456B2 (en) 2013-11-22 2017-02-28 Invensas Corporation Multiple bond via arrays of different wire heights on a same substrate
US20150186586A1 (en) * 2013-12-30 2015-07-02 Samsung Electronics Co., Ltd. Integrated circuit layouts and methods to improve performance
US9583411B2 (en) 2014-01-17 2017-02-28 Invensas Corporation Fine pitch BVA using reconstituted wafer with area array accessible for testing
JP2016012905A (ja) 2014-06-02 2016-01-21 ソニー株式会社 撮像素子、撮像方法、および電子機器
JP6384210B2 (ja) * 2014-09-02 2018-09-05 株式会社ソシオネクスト 半導体装置
US11239154B2 (en) 2015-01-20 2022-02-01 Taiwan Semiconductor Manufacturing Company Ltd. Fishbone structure enhancing spacing with adjacent conductive line in power network
US9490222B1 (en) * 2015-10-12 2016-11-08 Invensas Corporation Wire bond wires for interference shielding
US10042806B2 (en) * 2016-02-02 2018-08-07 Xilinx, Inc. System-level interconnect ring for a programmable integrated circuit
US10002100B2 (en) * 2016-02-02 2018-06-19 Xilinx, Inc. Active-by-active programmable device
CN108604106B (zh) * 2016-02-08 2021-06-01 科欧罗基克斯有限公司 侧信道感知的自动布局和布线
JP6599813B2 (ja) 2016-04-12 2019-10-30 三重富士通セミコンダクター株式会社 半導体集積回路及び半導体集積回路の設計方法
US10516396B2 (en) * 2016-04-29 2019-12-24 University Of Florida Research Foundation, Incorporated Overlay architecture for programming FPGAs
US10304771B2 (en) 2017-03-10 2019-05-28 Micron Technology, Inc. Assemblies having shield lines of an upper wiring layer electrically coupled with shield lines of a lower wiring layer
US9754872B1 (en) 2016-05-16 2017-09-05 Micron Technology, Inc. Assemblies having shield lines of an upper wiring level electrically coupled with shield lines of a lower wiring level
CN108701676B (zh) * 2016-05-16 2021-07-23 美光科技公司 具有与下部布线层的屏蔽线电耦合的上部布线层的屏蔽线的组合件
KR102636095B1 (ko) * 2016-12-16 2024-02-13 삼성전자주식회사 쿼드러플 패터닝 리소그래피를 위한 집적 회로, 상기 집적 회로의 설계를 위한 컴퓨팅 시스템 및 컴퓨터 구현 방법
US10923425B2 (en) * 2017-01-20 2021-02-16 Arm Limited Power distribution
US10417371B2 (en) * 2017-01-27 2019-09-17 Arm Limited Power grid healing techniques
US10438636B2 (en) * 2017-12-07 2019-10-08 Advanced Micro Devices, Inc. Capacitive structure for memory write assist
US10943045B2 (en) 2018-01-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including standard-cell-adapted power grid arrangement and method for generating layout diagram of same
JP2020043219A (ja) * 2018-09-11 2020-03-19 ソニーセミコンダクタソリューションズ株式会社 回路基板、半導体装置、および、電子機器
US11074390B2 (en) 2018-09-28 2021-07-27 Taiwan Semiconductor Manufacturing Company Ltd. Method of designing an integrated circuit and integrated circuit
CN109543309B (zh) * 2018-11-23 2023-01-06 珠海一微半导体股份有限公司 一种基于版图关键信号的干扰排查方法
US11011459B1 (en) * 2020-02-06 2021-05-18 Qualcomm Incorporated Back-end-of-line (BEOL) on-chip sensor
US11182530B1 (en) 2020-11-03 2021-11-23 Dialog Semiconductor (Uk) Limited Automatic routing system workflow
US11921559B2 (en) * 2021-05-03 2024-03-05 Groq, Inc. Power grid distribution for tensor streaming processors
KR102459919B1 (ko) 2022-05-04 2022-10-27 주식회사 송산특수엘리베이터 출입문이 탑승카 진행방향과 직각으로 구비된 경사형 엘리베이터의 도어 개폐 및 잠금장치
KR102640248B1 (ko) * 2023-06-16 2024-02-27 주식회사 하이퍼엑셀 생성형 거대 인공지능 모델의 효율적인 하드웨어 매핑을 위한 방법 및 시스템
CN117172193A (zh) * 2023-10-19 2023-12-05 合芯科技(苏州)有限公司 标准单元金属层的版图结构及其设计方法

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2940593A1 (de) 1979-10-06 1981-04-16 Ibm Deutschland Gmbh, 7000 Stuttgart Mehrlagen-modul mit konstantem wellenwiderstand
JPS61129847A (ja) 1984-11-29 1986-06-17 Toshiba Corp 半導体装置の金属配線パタ−ン形成方法
JPH01152642A (ja) 1987-12-09 1989-06-15 Nec Corp 半導体集積回路
JPH07105445B2 (ja) 1988-08-15 1995-11-13 株式会社東芝 集積回路の配線構造
JP2580301B2 (ja) 1988-12-27 1997-02-12 株式会社日立製作所 半導体集積回路装置
JPH03120743A (ja) 1989-10-03 1991-05-22 Nec Corp 半導体装置
JPH03120743U (ja) 1990-03-23 1991-12-11
KR940008132B1 (ko) 1991-11-28 1994-09-03 삼성전자 주식회사 신호선간의 잡음을 억제하는 메모리 소자
US5288949A (en) 1992-02-03 1994-02-22 Ncr Corporation Connection system for integrated circuits which reduces cross-talk
JPH08506696A (ja) * 1993-02-02 1996-07-16 エイ・エス・ティー・リサーチ・インコーポレイテッド 遮蔽グリッドを含む回路基板配列およびその構成
US5410107A (en) 1993-03-01 1995-04-25 The Board Of Trustees Of The University Of Arkansas Multichip module
FR2702595B1 (fr) 1993-03-11 1996-05-24 Toshiba Kk Structure de câblage multicouche.
JPH06291256A (ja) 1993-04-01 1994-10-18 Nec Ic Microcomput Syst Ltd 半導体集積回路
US5334800A (en) * 1993-07-21 1994-08-02 Parlex Corporation Flexible shielded circuit board
JP2921463B2 (ja) * 1996-01-30 1999-07-19 日本電気株式会社 半導体集積回路チップ
TW399319B (en) 1997-03-19 2000-07-21 Hitachi Ltd Semiconductor device
WO1998047331A1 (fr) 1997-04-16 1998-10-22 Kabushiki Kaisha Toshiba Tableau de connexions, son procede de fabrication et boitier de semi-conducteur
JP4109340B2 (ja) 1997-12-26 2008-07-02 株式会社ルネサステクノロジ 半導体集積回路装置
EP0970386B8 (en) * 1998-01-23 2006-06-21 Tokyo Electron Limited Impedance-to-voltage converter
US5999440A (en) 1998-03-30 1999-12-07 Lsi Logic Corporation Embedded DRAM with noise-protecting substrate isolation well
US6180998B1 (en) * 1998-03-30 2001-01-30 Lsi Logic Corporation DRAM with built-in noise protection
US6218631B1 (en) * 1998-05-13 2001-04-17 International Business Machines Corporation Structure for reducing cross-talk in VLSI circuits and method of making same using filled channels to minimize cross-talk
TW462214B (en) 1999-07-14 2001-11-01 Mitac Int Corp A method and structure of four layer circuit board improving circuit performance and avoiding electromagnetic interference
JP3398693B2 (ja) 1999-08-24 2003-04-21 エヌイーシーマイクロシステム株式会社 半導体記憶装置
JP2001093982A (ja) * 1999-09-22 2001-04-06 Hitachi Ltd 配線容量計算方法、クロストークディレイ計算方法、およびそれらのデータを記憶したコンピュータ読み取り可能な記憶媒体
JP2001127162A (ja) 1999-10-25 2001-05-11 Matsushita Electric Ind Co Ltd 半導体集積回路
US6510545B1 (en) 2000-01-19 2003-01-21 Sun Microsystems, Inc. Automated shielding algorithm for dynamic circuits
JP2001345385A (ja) * 2000-06-02 2001-12-14 Nec Corp 半導体集積回路の配線方法
JP4558172B2 (ja) * 2000-10-16 2010-10-06 ルネサスエレクトロニクス株式会社 消費電力低減回路
US6750396B2 (en) 2000-12-15 2004-06-15 Di/Dt, Inc. I-channel surface-mount connector
JP4083977B2 (ja) 2000-12-20 2008-04-30 富士通株式会社 半導体集積回路及び配線決定方法
US6426890B1 (en) * 2001-01-26 2002-07-30 International Business Machines Corporation Shared ground SRAM cell
US6622294B2 (en) 2001-09-28 2003-09-16 Intel Corporation Adaptive power routing and shield sharing to reduce shield count
JP3842111B2 (ja) 2001-11-13 2006-11-08 富士通株式会社 半導体装置及びその製造方法
US6650010B2 (en) 2002-02-15 2003-11-18 International Business Machines Corporation Unique feature design enabling structural integrity for advanced low K semiconductor chips
EP1339082A1 (en) 2002-02-25 2003-08-27 Asahi Glass Company Ltd. Impact-resistant film for flat display panel, and flat display panel
US6734472B2 (en) 2002-04-25 2004-05-11 Synplicity, Inc. Power and ground shield mesh to remove both capacitive and inductive signal coupling effects of routing in integrated circuit device
US7943436B2 (en) * 2002-07-29 2011-05-17 Synopsys, Inc. Integrated circuit devices and methods and apparatuses for designing integrated circuit devices
US7409662B1 (en) 2008-02-19 2008-08-05 International Business Machines Corporation Systems and methods involving designing shielding profiles for integrated circuits

Also Published As

Publication number Publication date
KR101100048B1 (ko) 2011-12-29
JP2005535118A (ja) 2005-11-17
KR20100112636A (ko) 2010-10-19
EP1546946A2 (en) 2005-06-29
AU2003254227A1 (en) 2004-02-16
KR101278434B1 (ko) 2013-06-24
WO2004012107A3 (en) 2004-10-21
WO2004012107A2 (en) 2004-02-05
US8286118B2 (en) 2012-10-09
KR20110119712A (ko) 2011-11-02
US20130043569A1 (en) 2013-02-21
KR101100049B1 (ko) 2011-12-29
US20110214100A1 (en) 2011-09-01
KR20110039573A (ko) 2011-04-19
KR20050048594A (ko) 2005-05-24
US20040145033A1 (en) 2004-07-29
AU2003254227A8 (en) 2004-02-16
US8881086B2 (en) 2014-11-04
KR101160857B1 (ko) 2012-07-02
US7943436B2 (en) 2011-05-17

Similar Documents

Publication Publication Date Title
JP5281731B2 (ja) 集積回路デバイスと集積回路デバイスを設計するための方法及び装置
US7739624B2 (en) Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US7992122B1 (en) Method of placing and routing for power optimization and timing closure
CN107918694B (zh) 用于减少集成电路上的延迟的方法
US20180261590A1 (en) Integrated circuit and computer-implemented method of manufacturing the same
US20100270671A1 (en) Manipulating fill patterns during routing
US10424518B2 (en) Integrated circuit designing system and a method of manufacturing an integrated circuit
JP2002318827A (ja) 集積回路製造システム
JP2004104039A (ja) 集積回路の自動配置配線設計方法、その自動配置配線設計装置、その自動配置配線設計システム、制御プログラムおよび可読記録媒体
Ng et al. A hierarchical floor-planning, placement, and routing tool for sea-of-gates designs
Kurokawa et al. DEPOGIT: Dense power-ground interconnect architecture for physical design integrity
Saxena et al. An Introduction To Routing Congestion
JP2006031174A (ja) 半導体集積回路の素子配置システム、素子配置方法、及びプログラム
Mohammadi Farhangi Congestion Driven Clock Tree Routing with Via Minimization
JP2004349681A (ja) 半導体装置およびその設計方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060630

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060630

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081028

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100601

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100901

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100909

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100910

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100910

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110415

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120113

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120118

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20120309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130325

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130527

R150 Certificate of patent or registration of utility model

Ref document number: 5281731

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term