JP5225102B2 - 異なる高さのコンタクト線を有する高密度mosfet回路を製造するための構造および方法 - Google Patents

異なる高さのコンタクト線を有する高密度mosfet回路を製造するための構造および方法 Download PDF

Info

Publication number
JP5225102B2
JP5225102B2 JP2008549683A JP2008549683A JP5225102B2 JP 5225102 B2 JP5225102 B2 JP 5225102B2 JP 2008549683 A JP2008549683 A JP 2008549683A JP 2008549683 A JP2008549683 A JP 2008549683A JP 5225102 B2 JP5225102 B2 JP 5225102B2
Authority
JP
Japan
Prior art keywords
gate
contact line
layer
spacer
height
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008549683A
Other languages
English (en)
Other versions
JP2009522819A (ja
Inventor
ズー,ホイロン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009522819A publication Critical patent/JP2009522819A/ja
Application granted granted Critical
Publication of JP5225102B2 publication Critical patent/JP5225102B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/90MOSFET type gate sidewall insulating spacer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/92Conductor layers on different levels connected in parallel, e.g. to reduce resistance

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)

Description

本明細書の実施形態は、異なる高さのコンタクト線(contact line)を有する高密度MOSFET回路を製造するための構造、方法などを提示する。
金属酸化物半導体電界効果トランジスタ(MOSFET)は、チャネル内の電圧を電気的に変化させて、チャネルに沿った電荷キャリア(電子または正孔)の流れを制御することによって動作する、特別なタイプの電界効果トランジスタ(FET)である。電荷キャリアは、ソースからチャネルに入り、ドレインを通って出ていく。チャネル内の電荷キャリアの流れは、ソースとドレインの間に物理的に位置し、極めて薄い金属酸化物層によってチャネルから絶縁された電極、すなわちゲートにかかる電圧によって制御される。
MOSFETが機能することができる方式は2つある。第1の方式はデプレッション・モードとして知られている。ゲートに電圧がかかっていないとき、チャネルはその最大コンダクタンスを示す。ゲート上の電圧が(チャネルがP型半導体材料でできているのか、またはN型半導体材料でできているのに応じて正または負の方向に)増大するにつれ、チャネルの導電率は低下する。MOSFETが動作することができる第2の方式はエンハンスメント・モードと呼ばれる。ゲートに電圧がかかっていないとき、導電性のチャネルは実質的になく、デバイスは導通しない。導電性のチャネルは、ゲートに電圧を印加することによって生み出される。ゲート電圧が大きいほど、デバイスの導通は良好になる。
MOSFETは、従来の接合型FETすなわちJFETに優るいくつかの利点を有する。ゲートがチャネルから電気的に絶縁されるため、ゲート電圧にかかわらず(ゲート電圧が、金属酸化物層の物理的な破壊を引き起こすほど大きくならない限り)、ゲートとチャネルの間には電流がまったく/少ししか流れない。したがって、MOSFETは事実上無限のインピーダンスを有する。MOSFET回路がパワー増幅器に対して有効なのはこのためである。MOSFET回路は高速スイッチング用途にもよく適している。一部の集積回路(IC)はごく小さなMOSFET回路を含み、コンピュータ、サーバなどの高性能ICで使用されている。
その利点にもかかわらず、MOSFET回路は、半導体デバイスの精力的なスケールダウンにより、ソースおよびドレインの遮断(blockage)を生じやすい。具体的には、MOSFET回路のソースおよびドレイン領域はますますより小さくなっており、これが、スペーサによるソースおよびドレイン領域の遮断につながる可能性がある。スペーサは、ゲート・コンタクトならびにソースおよびドレイン・コンタクトを分離する働きをするMOSFET回路の必須の構成要素である。加えて、スペーサはさらにゲート・スタックの側壁を不活性化する。しかし、スペーサは常に有効というわけではなく、場合によっては欠点も有する。例えば、異なるデバイスを接続するコンタクト線としてゲート・スタックがしばしば使用される。コンタクト線上のスペーサは、接触させる必要があるデバイスとコンタクト線との間にコンタクトを形成する困難を増大させる可能性がある。さらに、これらの望ましくないスペーサは空間を占有し、それによってICの密度を低減させる。これによってICの製造コストは増大する。したがって、異なる高さのコンタクト線を有し、スペーサによるコンタクト領域の遮断が回避される高密度MOSFET回路を製造するための構造、方法などが求められていることは容易に明らかである。この場合には、望ましくないスペーサを回避して、空間を節約しまたはIC設計のコストを低減させることができる。
本発明は、異なる高さのコンタクト線を有する高密度MOSFET回路を製造するための構造、及び方法などを提示する。
このMOSFET回路は、コンタクト線と、コンタクト線の近くに(proximate)位置する第1のゲート層と、第1のゲート層の上に位置する少なくとも1つの後続のゲート層とを含む。コンタクト線は、第1のゲート層と後続のゲート層(1つまたは複数)とを合わせた高さよりも低い高さを含む。このMOSFET回路はさらに、ゲート層の近くに位置するゲート・スペーサと、コンタクト線の近くに位置する単一の小さなコンタクト線スペーサとを含む(または場合によってはコンタクト線スペーサを含まない)。ゲート・スペーサはコンタクト線スペーサよりも高く、かつ厚い。
MOSFET回路を製造するこの方法は、第1のゲート層を形成し、第1のゲート層の上に少なくとも1つの後続のゲート層を形成することによって、ゲートを形成することを含む。さらに、第1のゲート層の形成中にコンタクト線を形成する。すなわち、コンタクト線と第1のゲート層とを同時に形成する。具体的には、ゲートおよびコンタクト線は、ポリシリコン層に、コンタクト線およびゲートのパターンを形成し、続いてゲートを保護することによって形成することができる。次いで、コンタクト線の所望の高さまでコンタクト線にドープすることによって、ドープされた部分を生成し、続いてこのドープされた部分をエッチングする。
あるいは、ゲートおよびコンタクト線は、基板の上に第1のポリシリコン層を付着させ、第1のポリシリコン層の上にシリコン・ゲルマニウム層を付着させ、シリコン・ゲルマニウム層の上に第2のポリシリコン層を付着させることによって形成することができる。次に、第1のポリシリコン層、シリコン・ゲルマニウム層および第2のポリシリコン層をパターン形成して、コンタクト線スタックおよびゲート・スタックを形成する。これに続いて、ゲート・スタックを保護し、コンタクト線スタックから第2のポリシリコンおよびシリコン・ゲルマニウム層を除去する。したがって、コンタクト線はゲートの近くに形成され、コンタクト線はゲートよりも低い。
次に、この方法は、ゲートの近くに第1のスペーサを形成し、コンタクト線の近くに、第1のスペーサよりも低く、かつ薄い第2のスペーサを形成する。続いて、第2のスペーサのうちの被保存スペーサ(preserved spacer)を第2のスペーサから保護し、第1のスペーサを保護し、MOSFET回路をエッチングすることによって、第2のスペーサのうちの1つのスペーサを除去する。
したがって、本発明の実施形態は、異なる高さのコンタクト線を有する高密度MOSFET回路を製造するための構造および方法を提供する。具体的には、スペーサの高さとスペーサの厚さの両方を低減させるために、より低いコンタクト線が使用される。これによって、バイア・ホールのエッチングをスペーサが妨げる可能性が低下する。ポリシリコン・コンタクト線上のスペーサは、バイア・コンタクトの障害となる可能性があるため、バイア・コンタクトが、MOSFET回路のソース/ドレイン領域から分離される可能性がある。例えば、スペーサによる遮蔽は、スタティック・ランダム・アクセス・メモリ(SRAM)内に開路を生じさせる。この問題は、SRAM領域が縮小し続けるにつれて大きくなる。したがって、本発明の実施形態の構造および方法は、従来のMOSFET製造プロセスに容易に組み込むことができる解決策を提供する。
本発明の実施形態のこれらの態様およびその他の態様は、以下の説明および添付図面に関して検討されたときにより十分に認識され、理解される。しかし、本発明の好ましい実施形態およびその多数の具体的な詳細を示す以下の説明は、例示目的で書かれたものであり、限定のために書かれたものではないことを理解されたい。本発明の趣旨を逸脱することなく、本発明の実施形態の範囲内で多くの変更および修正を実施することができ、本発明の実施形態はこのようなすべての修正を含む。
本発明の実施形態は、図面に関する以下の詳細な説明によってより完全に理解される。
次に、本発明の実施形態ならびにその様々な特徴および有利な詳細を、添付図面に示し、以下の説明において詳細に説明する非限定的な実施形態を参照してより完全に説明する。図面に示された諸特徴は、一律の尺度では必ずしも描かれていないことに留意されたい。本発明の実施形態を不必要に不明瞭にすることがないように、周知の構成要素およびプロセス技法の説明は省略した。本明細書で使用される例は単に、本発明の実施形態を実施することができる方法の理解を容易にし、当業者が本発明の実施形態を実施しやすくすることを意図したものである。したがって、それらの例を、本発明の実施形態の範囲を限定するものと解釈してはならない。
本発明の実施形態は、異なる高さのコンタクト線を有する高密度MOSFET回路を製造するための構造および方法を提供する。具体的には、スペーサの高さとスペーサの厚さの両方を低減させるために、より低いコンタクト線が使用される。これによって、バイア・ホールのエッチングをスペーサが妨げる可能性が低下し、ICの密度が増大する。ポリシリコン・コンタクト線上のスペーサは、バイア・コンタクトの障害となる可能性があるため、バイア・コンタクトが、MOSFET回路のソース/ドレイン領域から分離される可能性がある。例えば、スペーサによる遮蔽は、スタティック・ランダム・アクセス・メモリ(SRAM)内に開路を生じさせる。この問題は、SRAM領域が縮小し続けるにつれて大きくなる。したがって、本発明の実施形態の構造および方法は、従来のMOSFET製造プロセスに容易に組み込むことができる解決策を提供する。
より具体的には、図1は、第2の層210の下に配置された第1の層200を含むMOSFET回路100を示し、第1の層200は、酸化シリコン(SOI基板の場合)またはシリコン(バルク基板の場合)202を含み、第2の層210はシリコン212を含む。シリコン212は、第1の上ノッチ(notch)213および第2の上ノッチ215を含む。第2の層210はさらに、ソース820、ドレイン830および浅いトレンチ分離(STI)酸化物要素(member)214、216を含む。ソース820およびドレイン830は、濃くドープされたSiから形成され、シリサイド化されており、それらはそれぞれ、シリコン212の第1の上ノッチ213および第2の上ノッチ215内に位置する。第1のSTI酸化物要素214は第1の層200の上のソース820の近くに位置し、第2のSTI酸化物要素216は第1の層200の上のドレイン830の近くに位置する。
本発明の実施形態はさらに、ゲート310と、コンタクト線500と、ゲート・スペーサ710、715と、単一のコンタクト線スペーサ700と、ゲート誘電体720、725とを含む。具体的には、ゲート310は、シリコン212の上のソース820とドレイン830の間に位置し、コンタクト線500は第1のSTI酸化物要素214の上に位置する。ゲート310は、ポリシリコン層234の上に位置するシリサイド層810を含む。本発明の代替実施形態では、ゲート1210が、ポリシリコン・ゲルマニウム層1140の下に位置する第1のポリシリコン層1130と、ポリシリコン・ゲルマニウム層1140の上に位置する第2のポリシリコン層1150と、第2のポリシリコン層1150の上に位置するシリサイド層1710とを含むことができる(図19)。コンタクト線500も、ポリシリコン層232の上に位置するシリサイド層800を含む(コンタクト線が完全にシリサイド化される場合にはシリサイド層800がないことも可能である)が、コンタクト線500は、ゲート310の高さよりも低い高さを含む。
ゲート・スペーサ710、715およびコンタクト線スペーサ700は窒化物から形成され、ゲート・スペーサ710、715はゲート310の近くに位置する。コンタクト線スペーサ700は、コンタクト線500のゲート310から遠い側のコンタクト線500の近くに位置する。コンタクト線500はゲート310よりも低いため、コンタクト線スペーサ700は、それぞれのゲート・スペーサ710、715よりも低く、かつ薄い(スペーサの形成中にスペーサがオーバーエッチングされた場合、このスペーサは消失する可能性がある)。さらに、コンタクト線スペーサ700のサイズが小さいことに加えて、MOSFET回路100は、コンタクト線に対するスペーサを1つだけ含み、またはコンタクト線に対するスペーサを1つも含まず、これによって、スペーサによるソース820およびドレイン830の遮断を防ぐことができる。さらに、第1のゲート誘電体725はゲート310とシリコン212の間に位置し、第2のゲート誘電体720はコンタクト線500と第1のSTI酸化物要素214の間に位置する。
本発明の実施形態はさらに、誘電体要素900、902、904と、低k誘電体要素910、912、914と、金属バイア1000、1002とを含む。具体的には、誘電体要素900、902、904は窒化物から形成され、第1の誘電体要素900は、コンタクト線スペーサ700の上および第1のSTI酸化物要素214の上に位置する。第2の誘電体要素902は、ゲート310の上、ゲート・スペーサ710、715の上、およびソース820およびドレイン830の一部分の上に位置する。さらに、第3の誘電体要素904は第2のSTI酸化物要素216の上に位置する。
さらに、低k誘電体要素910、912、914は酸化物から形成される。具体的には、第1の低k誘電体要素910は第1の誘電体要素900の上に位置し、第2の低k誘電体要素912は第2の誘電体要素902の上に位置し、第3の低k誘電体要素914は第3の誘電体要素904の上に位置する。金属バイア1000、1002はタングステンから形成される。具体的には、第1の金属バイア1000は、第1の低k誘電体要素910と第2の低k誘電体要素912の間に位置し、第2の金属バイア1002は、第2の低k誘電体要素912と第3の低k誘電体要素914の間に位置する。さらに、第1の金属バイア1000は、コンタクト線500の上、およびソース820の一部分の上に位置し、第2の金属バイア1002は、ドレイン830の一部分の上に位置する。従来のデバイスの場合のように、ゲート金属コンタクトのため、ゲートの上に別のタングステン・バイア(図示せず)を形成することができる。
図2を参照すると、本発明の実施形態はさらに、MOSFET回路100を製造する方法を提供し、この方法は、第1の層200の上に第2の層210が形成され、第2の層210がシリコン212を含み、第1の層200が、酸化シリコン(SOI基板の場合)またはシリコン(バルク基板の場合)202を含む、シリコン・オン・インシュレータ(SOI)またはバルク基板を形成することを含む。これに続いて、この方法は、第2の層210のシリコン要素212の両縁にSTI酸化物要素214、216を形成する。具体的には、パッド酸化物、続いて窒化物を付着させ、この窒化物をフォトレジストを用いてパターン形成する(to pattern)。次に、この窒化物に対して反応性イオン・エッチング(RIE)を実行し、フォトレジストを除去する。さらに、パッド酸化物、続いてシリコンをエッチングし、必要に応じてライナ酸化を実行する。次いで、酸化物を再充填し、化学機械研磨(CMP)によって研磨する。この研磨は窒化物のところで停止させる。続いて、窒化物をエッチングし、酸化物の一部を時間エッチングする。
STI酸化物要素214、216の形成に続いて、この方法は、第2の層210の上に高k材料を付着させることによってゲート誘電体層220を形成する。次いで、ゲート誘電体層220の上に、60から150nmの厚さを有するポリシリコン層230を形成する。次に、コンタクト線およびゲートのパターンを形成するために、ポリシリコン層230の上にコンタクト線フォトレジストPRおよびゲート・フォトレジストPRを配置し(図2)、続いて、ポリシリコン層230の一部分を反応性イオン・エッチングすることによって、プリント回路ランディング・パッド300およびゲート310を形成する(図3)。この方法は次いで、コンタクト線フォトレジストPRおよびゲート・フォトレジストPRを除去し、ポリシリコンにドープするためにプリント回路ランディング・パッド300の上にゲルマニウム層400を注入し、ゲート310の上に第2のゲート・フォトレジストPRを配置する(図4)。続いて、反応性イオン・エッチングによってゲルマニウム層400を除去し、第2のゲート・フォトレジストPRを除去することによって、コンタクト線500を形成する(図5)。これに続いて、ドープされた延長部分を形成し、MOSFETのしきい電圧を調整するために、延長およびハロー(halo)注入を実施する従来のプロセスを実行してもよい。
これに続いて、コンタクト線500およびゲート310の上および周りに窒化物層600を付着させ(図6)、続いて、窒化物層600の一部分およびゲート誘電体層220の一部分をエッチングして、コンタクト線スペーサ700、705、ゲート・スペーサ710、715およびゲート誘電体720、725を形成する。図7に示されているように、コンタクト線500の高さはゲート310の高さよりも低く、したがって、コンタクト線スペーサ700、705は、ゲート・スペーサ710、715よりも低く、かつ薄い。次に、シリサイド層800、810、820および830をそれぞれ、コンタクト線500の上部(ここでは、完全にシリサイド化されたコンタクト線としてもよい)、ゲート310の上部、およびゲート・スペーサ710、715の近くのシリコン212の上部(すなわちソース820およびドレイン830)に形成する。シリサイド層800、810、820および830を形成する前には、当技術分野で一般的に知られているように、ソース/ドレイン・イオン注入およびソース/ドレイン・アニールが実施される。シリサイド層は、金属を付着させ、アニールし、反応しなかった金属をウェット・エッチングする従来のプロセスによって形成される。さらに、ゲート310の上およびコンタクト線500の上に第2の窒化物層840を付着させ、第2の窒化物層840の上に酸化物層850を付着させ、化学機械研磨を実行することによって、従来のバック・エンド・オブ・ライン(BEOL)プロセスを実行する。
図8に示されているように、この方法は次いで、ゲート310から遠いほうのコンタクト線スペーサ(すなわちコンタクト線スペーサ700)の上にフォトレジストPRを配置し、ゲート310の上およびゲート・スペーサ710、715の一部分の上にフォトレジストPRを配置し、コンタクト線500から遠いほうの第2の層210のSTI酸化物要素(すなわち第2のSTI酸化物要素216)の一部分の上にフォトレジストPRを配置する。続いて、反応性イオン・エッチングを実行し、第2の窒化物層840のところで停止させて、低k誘電体要素910、912、914を形成する。これに続いて、フォトレジストを除去し、反応性イオン・エッチングを実行する。これによって第2の窒化物層840の一部分を除去して、誘電体要素900、902、904を形成し、コンタクト線スペーサ705を除去する(図9)。コンタクト線500はゲート310よりも低いため、コンタクト線500およびコンタクト線スペーサ700、705上に付着された窒化物は、ゲート310およびゲート・スペーサ710、715上に付着された窒化物よりも低く、かつ薄く、したがって、コンタクト線500およびコンタクト線スペーサ705上に付着された窒化物の一部分を、反応性イオン・エッチングによって除去することができる。さらに、MOSFET回路100は単一のコンタクト線スペーサ(すなわちコンタクト線スペーサ700)しか含まないため、スペーサによるソース820およびドレイン830の遮断を防ぐことができる。この方法は次いで、コンタクト線500の上およびソース820の一部分の上、ならびにドレイン830の一部分の上にタングステンを付着させ、次いで化学機械研磨を実施することによって、金属バイア1000および1002を形成する(図10)。接触抵抗を低減させるため、タングステンを付着させる前に、コンタクト線500の上、ならびにソース820およびドレイン830の一部分の上に窒化チタンの薄層を付着させてもよい。
本発明の他の実施形態では、MOSFET回路3200を製造する方法が、第1の層1100の上に第2の層1110が形成され、第2の層1110がシリコン1112を含み、第1の層1100が酸化シリコン1102を含む、シリコン・オン・インシュレータ・チップを形成することから開始される。これに続いて、この方法は、第2の層1110のシリコン要素1112の両縁STI酸化物要素1114、1116を形成する。具体的には、パッド酸化物、続いて窒化物を付着させ、この窒化物をフォトレジストを用いてパターン形成する。次に、この窒化物に対して反応性イオン・エッチングを実行し、フォトレジストを除去する。さらに、パッド酸化物、続いてシリコンをエッチングし、必要に応じてライナ酸化を実行する。次いで、酸化物を再充填し、化学機械研磨によって研磨する。この研磨は窒化物のところで停止させる。続いて、窒化物をエッチングし、酸化物の一部を時間エッチングする。
STI酸化物要素1114、1116の形成に続いて、この方法は、第2の層1110の上に高k材料を付着させることによってゲート誘電体層1120を形成する。次いで、ゲート誘電体層1120の上に第1のポリシリコンまたは金属層1130を形成し、続いて、ポリシリコン・ゲルマニウム層1140および第2のポリシリコン層1150を形成する。第1のポリシリコンまたは金属層1130、ポリシリコン・ゲルマニウム層1140および第2のポリシリコン層1150はそれぞれ、30〜50nm、10nmおよび40〜80nmの例示的な厚さを有する。次に、コンタクト線およびゲートのパターンを形成するために、第2のポリシリコン層1150の上にコンタクト線フォトレジストPRおよびゲート・フォトレジストPRを配置し(図11)、続いて、第1のポリシリコン/金属層1130の一部分、ポリシリコン・ゲルマニウム層1140の一部分および第2のポリシリコン層1150の一部分を反応性イオン・エッチングすることによって、コンタクト線スタック1200およびゲート1210を形成する(図12)。この方法は次いで、コンタクト線フォトレジストPRおよびゲート・フォトレジストPRを除去し、ゲート1210の上に第2のゲート・フォトレジストPRを配置し(図13)、ポリシリコン・ゲルマニウム層1140の一部分および第2のポリシリコン層1150の一部分を反応性イオン・エッチングによってコンタクト線スタック1200から除去し(第1の層1130が金属の場合には、後続のシリサイド形成の間、第1の層1130を保護するため、ポリシリコン・ゲルマニウム層1140は残される)、第2のゲート・フォトレジストPRを除去することによって、コンタクト線1300を形成する(図14)。これに続いて、ドープされた延長部分を形成し、MOSFETのしきい電圧を調整するために、延長およびハロー注入を実施する従来のプロセスを実行する。
これに続いて、コンタクト線1300およびゲート1210の上および周りに窒化物層1500を付着させ(図15)、続いて、窒化物層1500の一部分およびゲート誘電体層1120の一部分をエッチングして、コンタクト線スペーサ1600、1605、ゲート・スペーサ1610、1615、およびゲート誘電体1620、1625を形成する。図16に示されているように、コンタクト線1300の高さはゲート1210の高さよりも低く、したがって、コンタクト線スペーサ1600、1605は、ゲート・スペーサ1610、1615よりも低く、かつ薄い。次に、シリサイド層1700、1710、1720および1730をそれぞれ、コンタクト線1300の上部(ここでは、完全にシリサイド化されたコンタクト線としてもよい)、ゲート1210の上部、およびゲート・スペーサ1610、1615の近くのシリコン1112の上部(すなわちソース1720およびドレイン1730)に形成する。シリサイド層1700、1710、1720および1730を形成する前には、当技術分野で一般的に知られているように、ソース/ドレイン・イオン注入およびソース/ドレイン・アニールが実施される。サリサイド層は、金属を付着させ、アニールし、反応しなかった金属をウェット・エッチングする従来のプロセスによって形成される。さらに、ゲート1210の上およびコンタクト線1300の上に第2の窒化物層1740を付着させ、第2の窒化物層1740の上に酸化物層1750を付着させ、化学機械研磨を実行することによって、従来のバック・エンド・オブ・ライン(BEOL)プロセスを実行する。
図17に示されているように、この方法は次いで、ゲート1210から遠いほうのコンタクト線スペーサ(すなわちコンタクト線スペーサ1600)の上にフォトレジストPRを配置し、ゲート1210の上およびゲート・スペーサ1610、1615の一部分の上にフォトレジストPRを配置し、コンタクト線1300から遠いほうの第2の層1110のSTI酸化物要素(すなわち第2のSTI酸化物要素1116)の一部分の上にフォトレジストPRを配置する。続いて、反応性イオン・エッチングを実行し、第2の窒化物層1740のところで停止させて、低k誘電体要素1810、1812、1814を形成する。これに続いて、フォトレジストを除去し、反応性イオン・エッチングを実行する。これによって、第2の窒化物層1740の一部分を除去して、誘電体要素1800、1802、1804を形成し、コンタクト線スペーサ1605を除去する(図18)。コンタクト線1300はゲート1210よりも低いため、コンタクト線1300およびコンタクト線スペーサ1600、1605上に付着された窒化物は、ゲート1210およびゲート・スペーサ1610、1615上に付着された窒化物よりも低く、かつ薄く、したがって、コンタクト線1300およびコンタクト線スペーサ1605上に付着された窒化物の一部分を、反応性イオン・エッチングによって除去することができる。さらに、MOSFET回路3200は単一のコンタクト線スペーサ(すなわちコンタクト線スペーサ1600)しか含まないため、スペーサによるソース1720およびドレイン1730の遮断を防ぐことができる。この方法は次いで、コンタクト線1300の上およびソース1720の一部分の上、ならびにドレイン1730の一部分の上にタングステンを付着させ、次いで化学機械研磨を実施することによって、金属バイア1900および1902を形成する(図19)。接触抵抗を低減させるため、タングステンを付着させる前に、コンタクト線1300の上、ならびにソース1720およびドレイン1730の一部分の上に窒化チタンの薄層を付着させてもよい。
したがって、本明細書の実施形態は、異なる高さのコンタクト線を有する高密度MOSFET回路を製造するための構造、方法などを提示する。MOSFET回路100はゲート310およびコンタクト線500を含み、ゲート310は、コンタクト線500の近くに位置する第1のゲート層と、第1のゲート層の上に位置する少なくとも1つの後続のゲート層とを含む。より具体的には、前述のとおり、ゲート310が、ポリシリコン層234の上に位置するシリサイド層810を含む。本発明の代替実施形態では、ゲート1210が、ポリシリコン・ゲルマニウム層1140の下に位置する第1のポリシリコン層1130と、ポリシリコン・ゲルマニウム層1140の上に位置する第2のポリシリコン層1150と、第2のポリシリコン層1150の上に位置するシリサイド層1710とを含むことができる。コンタクト線500も、ポリシリコン層232の上に位置するシリサイド層800を含むが、コンタクト線500は、ゲート310の高さ(すなわち第1のゲート層と後続のゲート層(1つまたは複数)とを合わせた高さ)よりも低い高さを含む。
MOSFET回路100はさらに、ゲート310の近くに位置するゲート・スペーサ710、715と、コンタクト線500の近くに位置する単一のコンタクト線スペーサ700とを含む。前述のとおり、コンタクト線500はゲート310よりも低いため、コンタクト線スペーサ700は、それぞれのゲート・スペーサ710、715よりも低く、かつ薄い。さらに、コンタクト線スペーサ700のサイズが小さいことに加えて、MOSFET回路100は、コンタクト線に対するスペーサを1つだけ含み、またはコンタクト線に対するスペーサを1つも含まず、これによって、スペーサによるソース820およびドレイン830の遮断を防ぐことができる。さらに、第1のゲート誘電体725はゲート310の下に位置し、第2のゲート誘電体720はコンタクト線500の下に位置する。
MOSFET回路100を製造する例示的な1つの方法は、第1のゲート層を形成し、第1のゲート層の上に少なくとも1つの後続のゲート層を形成することによって、ゲート310を形成することを含む。より具体的には、第1のゲート層の形成は、ゲート誘電体層220の上にポリシリコン層230を形成することを含む。さらに、第1のゲート層の形成中にコンタクト線500を形成する。すなわち、コンタクト線500と第1のゲート層とを同時に形成する。前述のとおり、コンタクト線500の形成は、ゲート誘電体層220の上にポリシリコン層230を形成し、続いて、ポリシリコン層230の一部分にドープするためにプリント回路ランディング・パッド300の上にゲルマニウム層400を注入することを含む。言い換えると、ゲート310およびコンタクト線500は、ポリシリコン層230に、コンタクト線500およびゲート310のパターンを形成し、続いてフォトレジストPRでゲート310を保護することによって形成することができる。次いで、コンタクト線500の所望の高さまでコンタクト線(すなわちプリント回路ランディング・パッド300)にドープすることによって、ドープされた部分を生成し、続いてこのドープされた部分をエッチングする。これに続いて、この方法は、前述のとおり、コンタクト線500の上およびソース820の一部分の上、ならびにドレイン830の一部分の上にタングステンを付着させ、次いで化学機械研磨を実施することによって、金属バイア1000および1002を形成する。
ゲート1210およびコンタクト線1300はあるいは、基板の上に第1のポリシリコン層1130を付着させ、第1のポリシリコン層1130の上にシリコン・ゲルマニウム層1140を付着させ、シリコン・ゲルマニウム層1140の上に第2のポリシリコン層1150を付着させることによっても形成することができる。前述のとおり、この基板は、酸化シリコン1102を含む第1の層1100と、シリコン1112を含む第2の層1110とを含む。次に、第1のポリシリコン層1130、シリコン・ゲルマニウム層1140および第2のポリシリコン層1150をパターン形成して、コンタクト線スタック1200およびゲート1210を形成する。これに続いて、ゲート1210をフォトレジストPRで保護し、第2のポリシリコン層1150の一部分およびシリコン・ゲルマニウム層1140の一部分を、コンタクト線スタック1200から除去する。したがって、コンタクト線1300はゲート1210の近くに形成され、コンタクト線1300はゲート1210よりも低い。
さらに、この方法は、ゲート310の近くに第1のスペーサ(すなわちゲート・スペーサ710、715)を形成し、コンタクト線500の近くに第2のスペーサ(すなわちコンタクト線スペーサ700、705)を形成する。前述のとおり、これらのスペーサは、コンタクト線500およびゲート310の上および周りに窒化物層600を付着させ、続いて窒化物層600の一部分をエッチングすることによって形成される。コンタクト線500の高さはゲート310の高さよりも低いため、第2のスペーサは第1のスペーサよりも低く、かつ薄い。続いて、第2のスペーサのうちの被保存スペーサ(すなわちコンタクト線スペーサ700)を保護し、第1のスペーサを保護し、MOSFET回路100をエッチングすることによって、第2のスペーサのうちの1つのスペーサ(すなわちコンタクト線スペーサ705)を除去する。したがって、コンタクト線スペーサ700のサイズが小さいことに加えて、MOSFET回路100は、コンタクト線に対するスペーサを1つだけ含み、これによって、スペーサによるソース820およびドレイン830の遮断を防ぐことができる。この場合も、この方法は次いで、前述のとおり、コンタクト線500の上およびソース820の一部分の上、ならびにドレイン830の一部分の上にタングステンを付着させ、次いで化学機械研磨を実施することによって、金属バイア1000および1002を形成する。
図20〜22は、異なる高さのコンタクト線を有する高密度MOSFET回路を製造する方法ステップの流れ図を示す。項目10では、この方法が、第1のゲート層およびコンタクト線を形成することによって開始される。前述のとおり、これは、基板の上にポリシリコン層を形成することを含み、この基板は、酸化シリコンを含む第1の層とシリコンを含む第2の層とを含む。項目11では、第1のゲート層の上に後続のゲート層(1つまたは複数)を形成する。前述のとおり、これらのゲート層は、コンタクト線の高さよりも高い高さを含む。
より具体的には、図21に示されているように、これらのゲート層およびコンタクト線は、ポリシリコン層にコンタクト線およびゲートのパターンを形成し(項目20)、ゲートを保護し(項目21)、コンタクト線の所望の高さまでコンタクト線にドープすることによってドープされた部分を生成し(項目22)、ドープされた部分をエッチングする(項目23)ことによって形成することができる。あるいは、図22に示されているように、これらのゲート層およびコンタクト線は、基板の上に第1のポリシリコン層を付着させ(項目30)、第1のポリシリコン層の上にシリコン・ゲルマニウム層を付着させ(項目31)、シリコン・ゲルマニウム層の上に第2のポリシリコン層を付着させる(項目32)ことによって形成してもよい。これに続いて、第1のポリシリコン層、シリコン・ゲルマニウム層および第2のポリシリコン層をパターン形成して、コンタクト線スタックおよびゲートを形成し(項目33)、ゲート・スタックを保護し(項目34)、コンタクト線スタックから、第2のポリシリコン層の一部分およびシリコン・ゲルマニウム層の一部分を除去する(項目35)。
次に、図20を再び参照すると、項目12で、ゲートの近くに第1のスペーサを形成し、コンタクト線の近くに、第1のスペーサよりも低く、かつ薄い第2のスペーサを形成する。前述のとおり、これらのスペーサは、コンタクト線およびゲートの上および周りに窒化物層を付着させ、続いて窒化物層の一部分をエッチングすることによって形成される。これに続いて、第2のスペーサのうちの被保存スペーサおよび第1のスペーサをフォトレジストで保護し(項目13)、MOSFET回路をエッチングする(項目14)。前述のとおり、コンタクト線はゲートよりも低いため、コンタクト線スペーサ(すなわち第2のスペーサ)は、それぞれのゲート・スペーサ(すなわちそれぞれの第1のスペーサ)よりも低く、かつ薄く、反応性イオン・エッチングによって容易に除去することができる。したがって、コンタクト線スペーサのサイズが小さいことに加えて、MOSFET回路は、コンタクト線に対するスペーサを1つだけ含み、これによって、スペーサによるソースおよびドレインの遮断を防ぐことができる。
したがって、本発明の実施形態は、異なる高さのコンタクト線を有する高密度MOSFET回路を製造するための構造および方法を提供する。具体的には、スペーサの高さとスペーサの厚さの両方を低減させるために、より低いコンタクト線が使用される。これによって、バイア・ホールのエッチングをスペーサが妨げる可能性が低下する。ポリシリコン・コンタクト線上のスペーサは、バイア・コンタクトの障害となる可能性があるため、バイア・コンタクトが、MOSFET回路のソース/ドレイン領域から分離される可能性がある。例えば、スペーサによる遮蔽は、スタティック・ランダム・アクセス・メモリ(SRAM)内に開路を生じさせる。この問題は、SRAM領域が縮小し続けるにつれて大きくなる。したがって、本発明の実施形態の構造および方法は、従来のMOSFET製造プロセスに容易に組み込むことができる解決策を提供する。
特定の実施形態の以上の説明は、現在の知識を使用することによって、包括的な概念から逸脱することなく、このような特定の実施形態を容易に変更し、またはこのような特定の実施形態を様々な用途に対して適合させ、あるいはその両方を実行することができる本発明の一般的な性質を完全に明らかにする。したがって、このような適合および変更は、開示された実施形態の等価物の意味および範囲に含まれなければならず、開示された実施形態の等価物の意味および範囲に含まれることが意図される。本明細書で使用された言い回しまたは用語は、説明を目的としたものであり、限定を目的としたものではないことを理解されたい。したがって、好ましい実施形態に関して本発明を説明してきたが、添付の特許請求の範囲の趣旨および範囲に含まれる変更を加えて本発明を実施することができることを当業者は認識されたい。
本発明の構造および該構造の製造方法は集積回路の製造において有用であり、異なる高さのコンタクト線を有する高密度MOSFET回路に対して特に有用である。
本発明のMOSFET回路を示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の一方法ステップを示す概略図である。 本発明の方法の流れ図である。 本発明の方法ステップの流れ図である。 本発明の代替方法ステップの流れ図である。

Claims (8)

  1. ソースおよびドレインと、
    前記ソースまたはドレインの外側にあって前記ソースまたはドレインと金属バイアを介して接続するコンタクト線と、
    前記ソースおよびドレインの間に位置するゲートと、
    前記ゲートの側壁上のゲート・スペーサと、
    前記コンタクト線の前記ゲートの側とは反対側の側壁上の単一のコンタクト線スペーサと、を含み、
    前記コンタクト線が、前記ゲートの高さよりも低い高さを有し、前記コンタクト線スペーサが、前記ゲート・スペーサの高さよりも低い高さを有
    前記ゲートが、第1のゲート層と、前記第1のゲート層の上、少なくとも1つの後続のゲート層の下に位置するポリシリコン・ゲルマニウム・ゲート層とを含む、MOSFET回路。
  2. 前記コンタクト線スペーサが、前記ゲート・スペーサの厚さよりも薄い厚さを有する、請求項1に記載のMOSFET回路。
  3. ソースおよびドレインと、
    前記ソースまたはドレインの外側にあって前記ソースまたはドレインと金属バイアを介して接続するコンタクト線と、
    前記ソースおよびドレインの間に位置する第1のゲートと、
    前記第1のゲート層の上に位置する少なくとも1つの後続のゲート層と、
    前記第1のゲート層および前記少なくとも1つの後続のゲート層の側壁上のゲート・スペーサと
    前記コンタクト線の前記第1のゲートの側とは反対側の側壁上の単一のコンタクト線スペーサと、含み、
    前記コンタクト線が、前記第1のゲート層と前記少なくとも1つの後続のゲート層とを合わせた高さよりも低い高さを有し、
    前記コンタクト線スペーサが、前記ゲート・スペーサの高さよりも低い高さを有
    前記第1のゲート層の上、前記少なくとも1つの後続のゲート層の下に位置するポリシリコン・ゲルマニウム・ゲート層をさらに含む、MOSFET回路。
  4. 前記コンタクト線スペーサが、前記ゲート・スペーサの厚さよりも薄い厚さを有する、請求項に記載のMOSFET回路。
  5. MOSFET回路を製造する方法であって、
    順番に積層された第1のポリシリコン層、ポリシリコン・ゲルマニウム層、および第2のポリシリコン層をパターン形成して、少なくとも1つのコンタクト線および少なくとも1つのゲートを形成すること、
    前記ゲートを保護し、前記コンタクト線を露出させること、
    前記コンタクト線の高さを低減させて、その結果としての前記コンタクト線の高さが前記ゲートの高さよりも低くなるようにすること、
    前記ゲートの側壁に第1のスペーサを形成すること、および
    前記コンタクト線の前記ゲートの側とは反対側の側壁に前記第1のスペーサの高さよりも低い高さの第2のスペーサを形成することを含み、
    前記コンタクト線は、前記ゲートの両側に形成されるソースおよびドレインの外側にあって前記ソースまたはドレインと金属バイアを介して接続する、方法。
  6. 前記第2のスペーサが、前記第1のスペーサの厚さよりも薄い、請求項に記載の方法。
  7. 前記第2のスペーサを形成することが、
    前記コンタクト線の両側に2つのスペーサを形成すること、および
    前記2つのスペーサのうちの前記ゲートの側とは反対側の前記第2のスペーサを保護し、前記第1のスペーサを保護した上で、前記2つのスペーサのうちの前記ゲートの側の保護されていないスペーサをエッチングすることを含む、請求項5または6に記載の方法。
  8. MOSFET回路を製造する方法であって、
    基板の上に第1のポリシリコン層を付着させること、
    前記第1のポリシリコン層の上にポリシリコン・ゲルマニウム層を付着させること、
    前記ポリシリコン・ゲルマニウム層の上に第2のポリシリコン層を付着させること、
    前記第1のポリシリコン層、前記ポリシリコン・ゲルマニウム層および前記第2のポリシリコン層をパターン形成して、コンタクト線スタックおよびゲート・スタックを形成すること、
    前記コンタクト線スタックの前記第2のポリシリコン層を除去することにより、前記コンタクト線スタックが、前記ゲート・スタックの高さよりも低い高さとすること、
    前記ゲート・スタックの側壁に第1のスペーサを形成すること、および
    前記コンタクト線スタックの前記ゲートの側とは反対側の側壁に前記第1のスペーサの高さよりも低い高さの第2のスペーサを形成することを含み、
    前記コンタクト線スタックは、前記ゲート・スタックの両側に形成されるソースおよびドレインの外側にあって前記ソースまたはドレインと金属バイアを介して接続する、方法。
JP2008549683A 2006-01-09 2007-01-09 異なる高さのコンタクト線を有する高密度mosfet回路を製造するための構造および方法 Expired - Fee Related JP5225102B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/306,707 2006-01-09
US11/306,707 US7339230B2 (en) 2006-01-09 2006-01-09 Structure and method for making high density mosfet circuits with different height contact lines
PCT/US2007/060265 WO2007082199A2 (en) 2006-01-09 2007-01-09 Structure and method for making high density mosfet circuits with different height contact lines

Publications (2)

Publication Number Publication Date
JP2009522819A JP2009522819A (ja) 2009-06-11
JP5225102B2 true JP5225102B2 (ja) 2013-07-03

Family

ID=38257099

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008549683A Expired - Fee Related JP5225102B2 (ja) 2006-01-09 2007-01-09 異なる高さのコンタクト線を有する高密度mosfet回路を製造するための構造および方法

Country Status (7)

Country Link
US (2) US7339230B2 (ja)
EP (1) EP1979941B1 (ja)
JP (1) JP5225102B2 (ja)
CN (1) CN101361186B (ja)
AT (1) ATE535941T1 (ja)
TW (1) TWI409948B (ja)
WO (1) WO2007082199A2 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009111200A (ja) * 2007-10-31 2009-05-21 Panasonic Corp 半導体装置及びその製造方法
US8692310B2 (en) 2009-02-09 2014-04-08 Spansion Llc Gate fringing effect based channel formation for semiconductor device
KR20100101446A (ko) 2009-03-09 2010-09-17 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8507375B1 (en) * 2012-02-02 2013-08-13 GlobalFoundries, Inc. Alignment tolerant semiconductor contact and method
US9786557B1 (en) * 2016-04-12 2017-10-10 International Business Machines Corporation Two-dimensional self-aligned super via integration on self-aligned gate contact
FR3069369B1 (fr) * 2017-07-21 2019-11-01 Stmicroelectronics (Rousset) Sas Circuit integre comportant un contact partage masque
KR20200085071A (ko) * 2019-01-04 2020-07-14 주식회사 엘지화학 배터리 전류 측정 장치 및 방법

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5232863A (en) * 1992-10-20 1993-08-03 Micron Semiconductor, Inc. Method of forming electrical contact between a field effect transistor gate and a remote active area
JPH07321217A (ja) * 1994-05-19 1995-12-08 Sanyo Electric Co Ltd 半導体装置および半導体装置の製造方法
JP2606143B2 (ja) * 1994-07-22 1997-04-30 日本電気株式会社 半導体装置及びその製造方法
GB2292008A (en) * 1994-07-28 1996-02-07 Hyundai Electronics Ind A split gate type flash eeprom cell
KR960030440A (ko) * 1995-01-12 1996-08-17 모리시다 요이치 반도체 장치 및 그 제조방법
US5668065A (en) * 1996-08-01 1997-09-16 Winbond Electronics Corp. Process for simultaneous formation of silicide-based self-aligned contacts and local interconnects
JPH10308454A (ja) * 1997-05-02 1998-11-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2964993B2 (ja) * 1997-05-28 1999-10-18 日本電気株式会社 半導体記憶装置
US6420273B1 (en) * 1997-06-30 2002-07-16 Koninklijke Philips Electronics N.V. Self-aligned etch-stop layer formation for semiconductor devices
JP3239940B2 (ja) * 1997-09-10 2001-12-17 日本電気株式会社 半導体装置及びその製造方法
JP3075351B2 (ja) * 1998-03-24 2000-08-14 日本電気株式会社 半導体装置およびその製造方法
US6127706A (en) 1998-04-23 2000-10-03 Texas Instruments - Acer Incorporated Trench-free buried contact for SRAM devices
TW386283B (en) * 1998-05-25 2000-04-01 United Microelectronics Corp A method of manufacturing the buried contact of an SRAM cell
JP2000100964A (ja) * 1998-09-18 2000-04-07 Seiko Epson Corp 半導体装置
US6127216A (en) * 1998-11-06 2000-10-03 Advanced Micro Devices, Inc. Heavily-doped polysilicon/germanium thin film formed by laser annealing
TWI231969B (en) * 1999-03-26 2005-05-01 Mosel Vitelic Inc Method for forming dual-gate MOS and interconnect with self-aligned contact
US6281559B1 (en) * 1999-03-03 2001-08-28 Advanced Micro Devices, Inc. Gate stack structure for variable threshold voltage
JP2000294546A (ja) * 1999-03-25 2000-10-20 Motorola Inc 半導体装置の製造方法
US6136649A (en) * 1999-10-12 2000-10-24 Advanced Micro Devices, Inc. Method for removing anti-reflective coating layer using plasma etch process after contact CMP
US6518618B1 (en) * 1999-12-03 2003-02-11 Intel Corporation Integrated memory cell and method of fabrication
US6420752B1 (en) * 2000-02-11 2002-07-16 Advanced Micro Devices, Inc. Semiconductor device with self-aligned contacts using a liner oxide layer
JP2001338979A (ja) * 2000-05-30 2001-12-07 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6271087B1 (en) * 2000-10-10 2001-08-07 Advanced Micro Devices, Inc. Method for forming self-aligned contacts and local interconnects using self-aligned local interconnects
US6552401B1 (en) * 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6509253B1 (en) * 2001-02-16 2003-01-21 Advanced Micro Devices, Inc. T-shaped gate electrode for reduced resistance
US6734510B2 (en) * 2001-03-15 2004-05-11 Micron Technology, Ing. Technique to mitigate short channel effects with vertical gate transistor with different gate materials
JP2003007819A (ja) * 2001-06-27 2003-01-10 Sharp Corp 半導体装置の製造方法
US6596599B1 (en) * 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
JP4628644B2 (ja) * 2001-10-04 2011-02-09 富士通セミコンダクター株式会社 半導体装置の製造方法
US6638861B1 (en) * 2001-11-08 2003-10-28 Advanced Micro Devices, Inc. Method of eliminating voids in W plugs
KR100790965B1 (ko) * 2002-03-09 2008-01-02 삼성전자주식회사 링 디펙트를 방지하기 위한 반도체 소자 및 그 제조방법
TW533588B (en) * 2002-04-24 2003-05-21 Nanya Technology Corp Flash memory and its manufacturing method
KR100487525B1 (ko) * 2002-04-25 2005-05-03 삼성전자주식회사 실리콘게르마늄 게이트를 이용한 반도체 소자 및 그 제조방법
JP4102112B2 (ja) * 2002-06-06 2008-06-18 株式会社東芝 半導体装置及びその製造方法
US20040004251A1 (en) * 2002-07-08 2004-01-08 Madurawe Raminda U. Insulated-gate field-effect thin film transistors
TW561506B (en) * 2002-07-22 2003-11-11 Taiwan Semiconductor Mfg Method for forming MOSFET
US6894353B2 (en) * 2002-07-31 2005-05-17 Freescale Semiconductor, Inc. Capped dual metal gate transistors for CMOS process and method for making the same
KR100481864B1 (ko) 2002-10-29 2005-04-11 삼성전자주식회사 반도체 소자의 형성방법
WO2004057618A2 (en) * 2002-12-19 2004-07-08 Koninklijke Philips Electronics N.V. Electric device comprising a layer of phase change material and method of manufacturing the same
JP2004228231A (ja) * 2003-01-21 2004-08-12 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
KR100509948B1 (ko) * 2003-04-01 2005-08-24 한국전자통신연구원 초미세 채널을 가지는 mosfet 소자 및 그 제조 방법
DE10318283A1 (de) * 2003-04-22 2004-11-25 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer verspannten Schicht auf einem Substrat und Schichtstruktur
US7129539B2 (en) * 2003-05-15 2006-10-31 Sharp Kabushiki Kaisha Semiconductor storage device and manufacturing method therefor, semiconductor device, portable electronic equipment and IC card
JP2005064127A (ja) * 2003-08-08 2005-03-10 Renesas Technology Corp 半導体装置およびその製造方法
DE10336876B4 (de) * 2003-08-11 2006-08-24 Infineon Technologies Ag Speicherzelle mit Nanokristallen oder Nanodots und Verfahren zu deren Herstellung
JP2005175090A (ja) * 2003-12-09 2005-06-30 Toshiba Corp 半導体メモリ装置及びその製造方法
US7135731B2 (en) * 2003-12-10 2006-11-14 Nanya Technology Corp. Vertical DRAM and fabrication method thereof
KR100543471B1 (ko) * 2003-12-30 2006-01-20 삼성전자주식회사 노어형 플래시 메모리 셀의 콘택 구조 형성방법
JP2005347296A (ja) * 2004-05-31 2005-12-15 Toshiba Corp 半導体装置および半導体装置の製造方法
KR100626383B1 (ko) * 2004-08-16 2006-09-20 삼성전자주식회사 부분적으로 높여진 소오스/드레인을 가지는 트랜지스터 및그 제조방법
US7259083B2 (en) * 2004-10-22 2007-08-21 Lsi Corporation Local interconnect manufacturing process
JP2006165435A (ja) * 2004-12-10 2006-06-22 Toshiba Corp 半導体装置及びその製造方法

Also Published As

Publication number Publication date
CN101361186A (zh) 2009-02-04
EP1979941A2 (en) 2008-10-15
US20070170472A1 (en) 2007-07-26
EP1979941B1 (en) 2011-11-30
US20080029836A1 (en) 2008-02-07
WO2007082199A3 (en) 2007-11-29
ATE535941T1 (de) 2011-12-15
TW200742074A (en) 2007-11-01
WO2007082199A2 (en) 2007-07-19
US7750415B2 (en) 2010-07-06
EP1979941A4 (en) 2011-03-23
CN101361186B (zh) 2012-07-18
US7339230B2 (en) 2008-03-04
JP2009522819A (ja) 2009-06-11
TWI409948B (zh) 2013-09-21

Similar Documents

Publication Publication Date Title
US20210028114A1 (en) Semiconductor device and fabrication method for the same
JP5225102B2 (ja) 異なる高さのコンタクト線を有する高密度mosfet回路を製造するための構造および方法
US9240404B2 (en) Embedded polysilicon resistor in integrated circuits formed by a replacement gate process
US10418480B2 (en) Semiconductor device capable of high-voltage operation
US8017997B2 (en) Vertical metal-insulator-metal (MIM) capacitor using gate stack, gate spacer and contact via
JPH10242420A (ja) 半導体装置およびその製造方法
US20070059931A1 (en) Contact structure having silicide layers, semiconductor device employing the same, and methods of fabricating the contact structure and semiconductor device
US9679963B2 (en) Semiconductor structure and a method for processing a carrier
US20220130757A1 (en) Interconnect structure and methods thereof
KR100755675B1 (ko) 실리사이드화된 게이트의 형성 방법
US10431664B2 (en) Gate structure and methods thereof
US11094596B2 (en) Semiconductor structure
US9548317B2 (en) FDSOI semiconductor structure and method for manufacturing the same
US11456304B2 (en) Semiconductor structure and forming method thereof
KR20080018134A (ko) 반도체 장치 및 그 제조 방법
US6756638B2 (en) MOSFET structure with reduced junction capacitance
US6995438B1 (en) Semiconductor device with fully silicided source/drain and damascence metal gate
TW202017010A (zh) 完全矽化閘控裝置及其形成方法
US7075155B1 (en) Structure for protecting a semiconductor circuit from electrostatic discharge and a method for forming the structure
US20230065045A1 (en) Contact formation method and related structure
US20110101458A1 (en) SOI type semiconductor device having a protection circuit
JP2008211155A (ja) 半導体集積回路及び製造方法
JP2005136436A (ja) 半導体装置およびその製造方法
JP2007036243A (ja) シリサイド化されたゲートの形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090323

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120619

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20120626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120824

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121030

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121116

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130219

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20130219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130312

R150 Certificate of patent or registration of utility model

Ref document number: 5225102

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160322

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees