JP4656803B2 - エアギャップの銅のインタコネクト - Google Patents

エアギャップの銅のインタコネクト Download PDF

Info

Publication number
JP4656803B2
JP4656803B2 JP2002276401A JP2002276401A JP4656803B2 JP 4656803 B2 JP4656803 B2 JP 4656803B2 JP 2002276401 A JP2002276401 A JP 2002276401A JP 2002276401 A JP2002276401 A JP 2002276401A JP 4656803 B2 JP4656803 B2 JP 4656803B2
Authority
JP
Japan
Prior art keywords
layer
metal
metal line
hard mask
air gap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002276401A
Other languages
English (en)
Other versions
JP2003163264A (ja
Inventor
テン スー シェン
パン ウェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Publication of JP2003163264A publication Critical patent/JP2003163264A/ja
Application granted granted Critical
Publication of JP4656803B2 publication Critical patent/JP4656803B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体デバイスにおけるインタコネクトの層間分離に関し、より詳細には、銅のインタコネクトの極めて低い誘電率(k)分離を生成するための集積化プロセスに関する。
【0002】
【従来の技術】
銅のインタコネクトは、2重ダマシンプロセスを用いて形成される。低誘電率の絶縁体材料の導入は、銅のインタコネクトを介して低誘電率の誘電体材料の第1層を堆積することによって達成され得る。これは、任意のエッチング停止バリア絶縁体、および、次に低誘電率材料の第2層が続き得る。次に、銅のインタコネクトに達するまで低誘電率材料の第2層、任意のエッチング停止バリア絶縁体、および低誘電率材料の第1層を介して、ビアをエッチングする。次に、低誘電率材料の第2層にトレンチをエッチングして、銅のインタコネクトの別の層の形成を支援する。バリア金属および銅は、スパッタリング、化学蒸着(CVD)法、電気化学的蒸着法、またはこれら方法の組み合わせによって堆積される。次に、堆積した銅(および、おそらくバリア金属)は化学的機械的研磨(CMP)を用いて平坦化され、銅のインタコネクトを形成する。
【0003】
銅に対する層内絶縁用にエアギャップが用いられてきたが、層間の銅層では酸化シリコンが用いらてきた。エアギャップは、UnityTM犠牲ポリマーを分解して形成される。しかし、銅は酸化物と直接接触する。このことによって、銅は酸化物中に拡散され、リーク電流フローが隣接する銅線間に生じ得る。
【0004】
【発明が解決しようとする課題】
従って、層間絶縁体、あるいは層内絶縁体および層間絶縁体としてエアギャップを統合する銅のインタコネクトを製造する方法を提供する。提供される方法により、犠牲ポリマーを堆積し、パターニングして、金属層を形成する。この犠牲ポリマーは分解して、アニーリング中にエアギャップになることができる。犠牲ポリマーとしての使用が可能な候補の1つは、12wt%のメシチレン溶液として溶解されたブチルノルボルネンおよびトリエトキシシリルノルボルネンのコポリマーである。
【0005】
本発明に係る層間絶縁構造は、第1の金属層からなる第1の複数の金属線と、該第1の金属線の下側にこれに接するよう形成された第1のエッチング停止層と、第2の金属層からなり、該第1の金属線上に形成された第2の複数の金属線と、該第2の金属線の下側にこれに接するよう形成された第2のエッチング停止層と、該第1の金属線と該第2の金属線との間に形成され、これらの金属線を接続する少なくとも1つのビアとを備え、該金属線の各々は、バリア金属の堆積により形成したバリア金属層と、該バリア金属層上での銅の堆積により形成した銅層との2層構造を有し、対向する第1の金属線と第2の金属線との間、および対向する第1および第2のエッチング停止層との間にはエアギャップが形成されており、該第2の金属線上には、最上層としての第2の金属線を覆うようにパターニングされた窒化膜からなるキャッピング層を介して、最上層としての第2の金属線を保護する、酸化膜からなる保護膜が形成され、該第1の金属層の、該第1の金属線以外の部分、および該第2の金属層の、該第2の金属線以外の部分は、該エアギャップを介して上下に位置する該第1の金属線および該第2の金属線を、該第1および該第2のエッチング停止層を介して支持する支持構造を形成しており、該支持構造はデバイスの周囲にて分散させて複数設けられて、該第1および第2の金属線を機械的に支持するものであり、それにより上記目的が達成される。
【0006】
前記第1の金属層からなり、隣接して配置された第1の金属線間に、エアギャップが形成されていてもよい。
【0008】
前記第1の金属層からなる第1の金属線の下側のうち、ビアが存在する箇所以外に前記エッチング停止層として酸化膜層が形成されていてもよい。
【0009】
前記第2の金属層からなる第2の金属線の下側のうち、ビアが存在する箇所以外に前記エッチング停止層として酸化膜層が形成されていてもよい。
【0024】
1に近似する有効絶縁体誘電率を有する層間絶縁体構造を提供する。層間絶縁体の実施形態は、第1の複数の金属線を含む第1の金属層と、第2の複数の金属線と第1の金属層に接続された少なくとも1つのビアとを含む第2の金属層と、第1の金属層と第2の金属層との間に挿入されるエアギャップとを含む。1実施形態において、エアギャップはまた、どちらか一方の金属層上の金属線間にあり、層内絶縁体および層間絶縁体として作用する。
【0025】
【発明の実施の形態】
図1は、初期段階の製造に続くデバイス領域14を備える基板12を含むインタコネクト構造10を示す。図面に示される1実施形態において、基板12と第1の絶縁体を覆うように形成される金属線との間の第1の絶縁体として酸化物が使用される。別の実施形態において、別の低誘電率材料を酸化物の代わりに用いることができる。すなわち、UnityTM犠牲ポリマーを、記載されるように上部絶縁層の形成に関する使用のために用いることができる。
【0026】
初期段階の製造が終了した後、第1の絶縁体層16(これは、好適には二酸化シリコンであり、約500nm〜1000nmの厚さである)が基板上に堆積して、CMP平坦化をして、基板12と第1の金属線(まだ形成されていない)との間に誘電体層を形成する。第1の犠牲層18を、約500nm〜800nmの厚さにスピンコートする。1実施形態において、第1の犠牲層18は、UnityTM犠牲ポリマーを含む。UnityTM犠牲ポリマーは、オハイオ州クリーブランドのBFGoodrichから市販されている。UnityTM犠牲ポリマーは、ブチルノルボルネンおよびトリエトキシシリルノルボルネンのコポリマーである。UnityTM犠牲ポリマーは、スピンコート用に6〜12wt%のメシチレン溶液として溶解された。第1の犠牲層18はUnityTM犠牲ポリマーであり、好適には、約3〜5分間、約95℃〜120℃でソフトベークされる。このソフトベークはに続いて硬化処理が行われる。このUnityTM犠牲ポリマーは、好適には、約1〜2時間、約200℃〜250℃で真空または窒素環境下で硬化される。約20nm〜50nmの厚さの窒化物ハードマスク20の堆積に続いて、約20nm〜50nmの厚さの酸化物ハードマスク22の堆積が行われ、自己整合のビア形成のために第1の犠牲層18上に二重のハードマスクを形成する。このことを図1に示す。あるいは、エッチングの手順をわずかに変更する必要があり得るが、酸化物ハードマスク22を最初に形成して、上を覆う窒化物ハードマスク20がその上に形成され得る。
【0027】
第1のフォトレジスト層を酸化物ハードマスク22上に堆積して、パターニングする。この酸化物ハードマスクをエッチングして、酸化物ハードマスクにビアの開口を形成する。説明を簡略化するため、図面に示されるように、複数のビアの開口が同時に形成されるが、1つのビアの開口について論じる。
【0028】
第2のフォトレジスト層を、堆積し、パターニングして、トレンチマスクが形成される。窒化物ハードマスク20を、酸化物ハードマスク22に形成されたビアの開口でエッチングして、窒化物のビアの開口24が形成される。次に、酸化物ハードマスクをエッチングして、トレンチの開口26が形成される。図2に示されるように、ビアおよびトレンチをエッチングする前に、このレジストを剥ぎ取り得る。または、このレジストを完全の状態で残したまま、エッチングした後にレジストを剥ぎ取り得る。
【0029】
図3は、パターニングが続く、ハードマスクの上部の平面図を示し、図2の断面図に対応する。ビアの開口24は、図示されるように、トレンチ幅の方に大きくなり得る。
【0030】
次に、図4を参照して、第1の犠牲層18をエッチングし、酸化物ハードマスク22または窒化物ハードマスク20の一方が第1の犠牲層18を保護していない箇所で、ビアの開口30を形成する。第1の犠牲層18をエッチングする方法は、第1の犠牲層で選択される材料による。第1の犠牲層にUnityTM犠牲ポリマーを用いる場合、ドライエッチングプロセス(例えば、酸素プラズマエッチング、または他の適切なエッチングプロセス)を用いてエッチングされ得る。
【0031】
次に、図5を参照して、1実施形態において、酸化物ハードマスク22を用いて、選択的に窒化物ハードマスク20をエッチングして、酸化物にトレンチパターンを形成する。1実施形態において、図示されるように、窒化物ハードマスク22をエッチングした後、酸化物ハードマスクを除去する。あるいは、酸化物ハードマスク22を完全に残したまま、その後に続くエッチングプロセス中に除去する。次に、図6を参照して、窒化物ハードマスク20を用いて、第1の犠牲層18をエッチングして、トレンチ32を形成する。ビア30がデバイス領域14に達するまで、第1の絶縁層16をエッチングして、ビア30を拡大する。別の実施形態において、二酸化シリコンをエッチング(例えば、Cおよびアルゴンを含むプラズマを用いてもよい)する間、第1の犠牲層18は、マスクとして作用する。さらに他の化学エッチングを利用して、第1の犠牲層をエッチングすることなく二酸化シリコンをエッチングすることもできる。次に、UnityTM犠牲ポリマーを第1の犠牲層として用いる場合、第1の犠牲層18を選択的にエッチング(好適には、酸素を含むプラズマを用いる)し、トレンチ32を形成する。用いるエッチング手順またはエッチングプロセスに関係なく、生じる構造物は、図6で示されるように、トレンチおよびビアを形成している。
【0032】
次に、図7を参照して、バリア金属40および銅42を堆積し、CMP平坦化をする。1実施形態において、さらに窒化物ハードマスク20を除去する。
【0033】
別の実施形態において、第1の絶縁層も犠牲層(例えば、UnityTM犠牲ポリマー)である。酸化物の薄膜を堆積し、CMP平坦化をする。次に、初期の犠牲層を堆積する。あるいは、初期段階処理の後、二酸化シリコン層を約50nm〜200nmに堆積して、続いて初期の犠牲層の堆積およびCMP平坦化をする。代替の酸化膜層を第1の層内の犠牲層で堆積する。1実施形態において、バリア金属の堆積および銅の堆積に続いて、初期の犠牲層を第1の銅レベルと基板との間に挿入する。処理の完了後、これにより、第1の銅レベルと基板との間にエアギャップが生成する。
【0034】
次に、図8を参照して、第2の犠牲層44を、約500nm〜1500nmの厚さに堆積する。1実施形態において、この第2の犠牲層は、UnityTM犠牲ポリマーであり、スピンコートによって堆積される。エッチング停止層46を、約50nm〜100nmの厚さに第2の犠牲層上に堆積する。エッチング停止材料は、好適には、二酸化シリコンである。同じ材料である必要はないが、好ましくは他の犠牲層と同じ材料である第3の犠牲層を、約500nm〜800nmの厚さに堆積する。UnityTM犠牲ポリマーを用いる場合、好適には、中間構造物10を、約3分間、約120℃で加熱し、UnityTM犠牲ポリマーを穏やかに硬化する。次に、2重のハードマスクを第3の犠牲層48に覆うように形成する。1実施形態において、第2の窒化物ハードマスク50を、約20nm〜50nmの厚さに堆積し、続いて第2の酸化物ハードマスク52を、約20nm〜50nmの厚さに堆積する。
【0035】
上述したように、第3のフォトレジスト層を酸化物ハードマスク52上に堆積し、パターニングする。酸化物ハードマスクをエッチングし、酸化物ハードマスクにビアの開口を形成する。図面に示されるように、複数のビアの開口が、同時に形成されるが、説明を簡略化するために、1つのビアの開口について説明する。
【0036】
第4のフォトレジスト層を堆積し、パターニングして、トレンチマスクを形成する。酸化物ハードマスク52に形成されるビアの開口で窒化物ハードマスク50をエッチングして、窒化物ビアの開口を形成する。次に、酸化物ハードマスクをエッチングして、トレンチの開口を形成する。
【0037】
第3の犠牲層48をエッチングして、部分的なビアを形成する。1実施形態において、エッチング停止層46の剥き出し部分に沿って第2の酸化物ハードマスクを除去し、エッチング停止層46にビアの開口を形成する。第2の犠牲層をエッチングして、第2のレベルのビア60を形成し、第3の犠牲層をエッチングして、第2のレベルのトレンチ62を形成する間、第2の窒化物ハードマスクおよびエッチング停止層はマスクとして作用する。図9は、インタコネクト構造10を示し、第2層のビアおよびトレンチの形成が続く。
【0038】
別の実施形態において、第2の酸化物ハードマスクを、第2の窒化物ハードマスクの前に堆積する。この場合、第3のフォトレジスト層を、第2の窒化物ハードマスク上に堆積して、第2の酸化物ハードマスクにおいてビアの開口がエッチングされ得るようにパターニングする。次に、酸化物ハードマスクにおいてビアの開口をエッチングする。第4のフォトレジスト層を堆積して、トレンチマスクを第2の窒化物ハードマスクへとパターニングする。次に、エッチング停止層46に至るまで第3の犠牲層48をエッチングする。次に、第2の窒化物ハードマスクを除去する。第2の酸化物ハードマスクの除去に引き続き、ビアの開口より下方でエッチング停止層の一部を剥き出しにする。次に、第3の犠牲層および第2の犠牲層をエッチングして、図9に示されるようにビア構造およびトレンチ構造を形成する。
【0039】
次に、図10を参照すると、バリア金属70および銅72を堆積して、CMP平坦化をする。1実施形態において、さらに第2の窒化物ハードマスクを除去する。
【0040】
さらなる犠牲層、エッチング停止層、およびハードマスクを堆積する工程を繰り返し、続いて上述したように、パターニングする工程および選択的なエッチング工程を用いて、さらなるインタコネクトレベルを形成し得る。図11に示すように、3つのインタコネクトレベルの全てが形成されている。上記プロセスを繰り返すことによって、さらなるレベルもまた可能である。最後のインタコネクトレベルを完了すると、窒化シリコンおよび窒化ボロンのキャッピング層80を、約5nm〜10nmの厚さに堆積して、好適にはフォトレジストを用いてパターニングして、最上層の銅層を保護する。このキャッピング層は、好適には、最上層の金属線のトレンチよりわずかに大きくなるが、寸法的にはあまり重大な意味を持たない。次に、残っている全てのフォトレジスト材を剥ぎ取る。パシベーション層82(好適には酸化物)を、約500nm〜1500nmの厚さに堆積する。
【0041】
次に、図12を参照すると、全ての犠牲層を分解して、エアギャップ100を有するインタコネクト構造10を生成する。エアギャップ層100は、層間絶縁体および層内絶縁体として機能する。UnityTM犠牲ポリマーを用いた実施形態において、好適には、インタコネクト構造10を、約425℃〜500℃の温度で、窒素パージされた加熱炉でアニールして、UnityTM犠牲ポリマーを分解して、全ての覆っている酸化物に浸透することを可能にする。
【0042】
上述のプロセスに引き続き、エアギャップを層間絶縁体と層内絶縁体との両方として形成する。従って、エッチング停止層/スペースホルダーの酸化シリコンが非常に薄い場合、有効誘電率は、当然極めて1に近似する。極めて多くのインタコネクト層を有する集積回路に関して、エッチング停止層/スペースホルダーの酸化物の厚さは、機械的強度を強めるように厚くする必要があり得る。このことは、システムの有効誘電率を増大させる。最上層の銅表面は、窒化物を用いて保護される。銅と直接接触する酸化シリコンはない。従って、銅の拡散問題はなくなる。隣接した銅線間のリーク電流および銅層間のリーク電流が、極めて小さくなることが期待される。概略図は接触した2つの堆積物を示した。左側は、普通のインタコネクトになる傾向があった。右側の堆積物は、エッジシールディングを図示している。エッジシールディングは、エッチング停止層/スペースホルダーの酸化膜層および銅線の機械的な支持台のために必要とされる。1実施形態において、エッジシールディングをデバイスの周囲に分散して、さらなる機械的な支持台を提供する。別の実施形態において、エッジシールディングを、チップ領域の全体に渡る要点においてさらに提供する。エッジシールディングを、電源供給のバスラインまたはアースラインの1つとして用いてもよい。
【0043】
エッチング停止層/スペースホルダーの酸化シリコンを、バリア金属を介して金属線に接着する。従って、バリア金属と銅とエッチング停止層/スペースホルダーの酸化物に対するバリア金属との間の良好な接着がより好ましい。この用途に対する好適なバリア金属は、TiまたはTiNである。
【0044】
いくつかのスペースホルダーに加えて、好適な実施形態を説明してきたが、本発明は、任意の特定の実施形態に限定されない。どちらかと言えば、本発明の範囲は、上掲の特許請求の範囲およびその均等物によって規定される。
【0045】
1に近似する有効誘電率を有する層間絶縁構造を提供する。層間絶縁の実施形態は、第1の複数の金属線を含む第1の金属層と、第2の複数の金属線、および第1の金属層に接続された少なくとも1つのビアとを含む第2の金属層と、第1の金属層と第2の金属層との間に挿入されたエアギャップとを含む。1実施形態において、エアギャップは、さらに、どちらか一方の金属層上の金属線間に存在して、層内絶縁および層間絶縁として役に立つ。また、犠牲ポリマーを堆積して、パターニングして、金属層を形成する方法を提供する。犠牲ポリマーを分解して、アニール中にエアギャップになることができる。
【0046】
【発明の効果】
層間絶縁体、あるいは層内絶縁体および層間絶縁体としてエアギャップを統合する銅のインタコネクトを製造する方法を提供する。
【図面の簡単な説明】
【図1】図1は、初期層およびハードマスクの堆積が続くインタコネクト構造の断面図である。
【図2】図2は、マスクする工程が続くインタコネクト構造の断面図である。
【図3】図3は、2重ハードマスクの上部を示す平面図である。
【図4】図4は、部分的なビアの形成の形成が続く断面図である。
【図5】図5は、窒化物トレンチマスクの形成が続く断面図である。
【図6】図6は、トレンチおよびビアの形成が続く断面図である。
【図7】図7は、バリア金属およびインタコネクトの堆積が続く断面図である。
【図8】図8は、さらなるインタコネクト層を形成するための層の堆積が続く断面図である。
【図9】図9は、さらなるトレンチおよびビアの形成が続く断面図である。
【図10】図10は、バリア金属およびインタコネクトの堆積が続く断面図である。
【図11】図11は、パシベーションが続く3つのインタコネクトレベルを示す断面図である。
【図12】図12は、全ての犠牲層が分解して、インタコネクト間にエアギャップの形成が続く断面図である。

Claims (4)

  1. 第1の金属層からなる第1の複数の金属線と、
    該第1の金属線の下側にこれに接するよう形成された第1のエッチング停止層と、
    第2の金属層からなり、該第1の金属線上に形成された第2の複数の金属線と、
    該第2の金属線の下側にこれに接するよう形成された第2のエッチング停止層と、
    該第1の金属線と該第2の金属線との間に形成され、これらの金属線を接続する少なくとも1つのビアとを備え、
    該金属線の各々は、バリア金属の堆積により形成したバリア金属層と、該バリア金属層上での銅の堆積により形成した銅層との2層構造を有し、
    対向する第1の金属線と第2の金属線との間、および対向する第1および第2のエッチング停止層との間にはエアギャップが形成されており、
    該第2の金属線上には、最上層としての第2の金属線を覆うようにパターニングされた窒化膜からなるキャッピング層を介して、最上層としての第2の金属線を保護する、酸化膜からなる保護膜が形成され、
    該第1の金属層の、該第1の金属線以外の部分、および該第2の金属層の、該第2の金属線以外の部分は、該エアギャップを介して上下に位置する該第1の金属線および該第2の金属線を、該第1および該第2のエッチング停止層を介して支持する支持構造を形成しており、
    該支持構造はデバイスの周囲にて分散させて複数設けられて、該第1および第2の金属線を機械的に支持する
    間絶縁構造。
  2. 前記第1の金属層からなり、隣接して配置された第1の金属線の間には、エアギャップが形成されている、請求項1に記載の層間絶縁構造。
  3. 前記第1の金属層からなる第1の金属線の下側のうち、ビアが存在する箇所以外に前記エッチング停止層として酸化膜層が形成されている、請求項1に記載の層間絶縁構造。
  4. 前記第2の金属層からなる第2の金属線の下側のうち、ビアが存在する箇所以外に前記エッチング停止層として酸化膜層が形成されている、請求項1に記載の層間絶縁構造。
JP2002276401A 2001-09-28 2002-09-20 エアギャップの銅のインタコネクト Expired - Fee Related JP4656803B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/967,594 US6555467B2 (en) 2001-09-28 2001-09-28 Method of making air gaps copper interconnect
US09/967,594 2001-09-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006340595A Division JP2007074004A (ja) 2001-09-28 2006-12-18 層間絶縁構造およびその形成方法

Publications (2)

Publication Number Publication Date
JP2003163264A JP2003163264A (ja) 2003-06-06
JP4656803B2 true JP4656803B2 (ja) 2011-03-23

Family

ID=25513021

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002276401A Expired - Fee Related JP4656803B2 (ja) 2001-09-28 2002-09-20 エアギャップの銅のインタコネクト
JP2006340595A Pending JP2007074004A (ja) 2001-09-28 2006-12-18 層間絶縁構造およびその形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2006340595A Pending JP2007074004A (ja) 2001-09-28 2006-12-18 層間絶縁構造およびその形成方法

Country Status (2)

Country Link
US (3) US6555467B2 (ja)
JP (2) JP4656803B2 (ja)

Families Citing this family (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002059939A2 (en) * 2000-11-22 2002-08-01 The Johns Hopkins University Method for fabricating a semiconductor device
JP3563030B2 (ja) * 2000-12-06 2004-09-08 シャープ株式会社 半導体装置の製造方法
JP2002198370A (ja) * 2000-12-26 2002-07-12 Mitsubishi Electric Corp 半導体装置および半導体装置の製造方法
US6984892B2 (en) * 2001-03-28 2006-01-10 Lam Research Corporation Semiconductor structure implementing low-K dielectric materials and supporting stubs
US6835616B1 (en) * 2002-01-29 2004-12-28 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US7026235B1 (en) * 2002-02-07 2006-04-11 Cypress Semiconductor Corporation Dual-damascene process and associated floating metal structures
US6908829B2 (en) * 2002-03-11 2005-06-21 Intel Corporation Method of forming an air gap intermetal layer dielectric (ILD) by utilizing a dielectric material to bridge underlying metal lines
US20030183916A1 (en) * 2002-03-27 2003-10-02 John Heck Packaging microelectromechanical systems
DE10227615A1 (de) * 2002-06-20 2004-01-15 Infineon Technologies Ag Schicht-Anordnung und Verfahren zum Herstellen einer Schicht-Anordnung
JP4334844B2 (ja) * 2002-06-26 2009-09-30 東京エレクトロン株式会社 デバイス用溝構造体の製造方法
JP3974470B2 (ja) * 2002-07-22 2007-09-12 株式会社東芝 半導体装置
US6838372B2 (en) * 2002-09-25 2005-01-04 Cookson Electronics, Inc. Via interconnect forming process and electronic component product thereof
US6867125B2 (en) * 2002-09-26 2005-03-15 Intel Corporation Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US7126223B2 (en) * 2002-09-30 2006-10-24 Intel Corporation Semiconductor device formed with an air gap using etch back of inter layer dielectric (ILD)
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6917109B2 (en) * 2002-11-15 2005-07-12 United Micorelectronics, Corp. Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US7138329B2 (en) * 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US7294934B2 (en) * 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
US6861332B2 (en) * 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US6787456B1 (en) * 2003-03-20 2004-09-07 Agency For Science, Technology And Research Wafer-level inter-connector formation method
US6890828B2 (en) * 2003-06-05 2005-05-10 International Business Machines Corporation Method for supporting a bond pad in a multilevel interconnect structure and support structure formed thereby
US6913946B2 (en) * 2003-06-13 2005-07-05 Aptos Corporation Method of making an ultimate low dielectric device
US6995073B2 (en) * 2003-07-16 2006-02-07 Intel Corporation Air gap integration
JP2005038971A (ja) * 2003-07-17 2005-02-10 Ebara Corp 半導体装置及びその製造方法
TW200504932A (en) * 2003-07-31 2005-02-01 Winbond Electronics Corp Dual-damascene opening structure, and fabrication method for dual-damascene interconnect
FR2858876B1 (fr) * 2003-08-12 2006-03-03 St Microelectronics Sa Procede de formation sous une couche mince d'un premier materiau de portions d'un autre materiau et/ou de zones de vide
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
DE10353767B4 (de) * 2003-11-17 2005-09-29 Infineon Technologies Ag Vorrichtung zur Häusung einer mikromechanischen Struktur und Verfahren zur Herstellung derselben
US7084479B2 (en) * 2003-12-08 2006-08-01 International Business Machines Corporation Line level air gaps
KR100571391B1 (ko) * 2003-12-23 2006-04-14 동부아남반도체 주식회사 반도체 소자의 금속 배선 구조의 제조 방법
TWI273671B (en) * 2004-03-18 2007-02-11 Imec Inter Uni Micro Electr Method of manufacturing a semiconductor device having damascene structures with air gaps
US7224068B2 (en) * 2004-04-06 2007-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Stable metal structure with tungsten plug
TWI229411B (en) * 2004-04-20 2005-03-11 Powerchip Semiconductor Corp Method of manufacturing a semiconductor device
US7005371B2 (en) * 2004-04-29 2006-02-28 International Business Machines Corporation Method of forming suspended transmission line structures in back end of line processing
US20060006538A1 (en) * 2004-07-02 2006-01-12 Lsi Logic Corporation Extreme low-K interconnect structure and method
US7094669B2 (en) * 2004-08-03 2006-08-22 Chartered Semiconductor Manufacturing Ltd Structure and method of liner air gap formation
US7294568B2 (en) * 2004-08-20 2007-11-13 Intel Corporation Formation of air gaps in an interconnect structure using a thin permeable hard mask and resulting structures
US20060125102A1 (en) * 2004-12-15 2006-06-15 Zhen-Cheng Wu Back end of line integration scheme
US7309653B2 (en) * 2005-02-24 2007-12-18 International Business Machines Corporation Method of forming damascene filament wires and the structure so formed
JP4679193B2 (ja) 2005-03-22 2011-04-27 株式会社東芝 半導体装置の製造方法及び半導体装置
US7071099B1 (en) * 2005-05-19 2006-07-04 International Business Machines Corporation Forming of local and global wiring for semiconductor product
JP4197694B2 (ja) * 2005-08-10 2008-12-17 株式会社東芝 半導体装置およびその製造方法
CN1996589B (zh) * 2005-12-31 2010-10-13 上海集成电路研发中心有限公司 利用空气填充降低介电常数的大马士革结构及其制造方法
US7534696B2 (en) * 2006-05-08 2009-05-19 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US7695897B2 (en) * 2006-05-08 2010-04-13 International Business Machines Corporation Structures and methods for low-k or ultra low-k interlayer dielectric pattern transfer
JP2008103610A (ja) * 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd 半導体集積回路の配線構造およびその設計方法と設計装置
US7871922B2 (en) * 2007-04-10 2011-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming interconnect structures that include forming air gaps between conductive structures
US7718525B2 (en) * 2007-06-29 2010-05-18 International Business Machines Corporation Metal interconnect forming methods and IC chip including metal interconnect
US7994639B2 (en) * 2007-07-31 2011-08-09 International Business Machines Corporation Microelectronic structure including dual damascene structure and high contrast alignment mark
US7999388B2 (en) 2007-09-24 2011-08-16 Research Triangle Institute Preventing breakage of long metal signal conductors on semiconductor substrates
US7709966B2 (en) * 2007-09-25 2010-05-04 Sixis, Inc. Large substrate structural vias
US20090087562A1 (en) * 2007-09-27 2009-04-02 Long Hua Lee Method of preparing cross-linked organic glasses for air-gap sacrificial layers
JP5342811B2 (ja) * 2008-06-09 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法
US8299622B2 (en) 2008-08-05 2012-10-30 International Business Machines Corporation IC having viabar interconnection and related method
US8110342B2 (en) * 2008-08-18 2012-02-07 United Microelectronics Corp. Method for forming an opening
JP2010108966A (ja) 2008-10-28 2010-05-13 Elpida Memory Inc 半導体装置及び半導体装置の製造方法
US8129834B2 (en) * 2009-01-26 2012-03-06 Research Triangle Institute Integral metal structure with conductive post portions
JP5556051B2 (ja) * 2009-04-15 2014-07-23 住友ベークライト株式会社 樹脂組成物およびそれを用いた半導体装置
US8456009B2 (en) * 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
JP2011238897A (ja) * 2010-04-13 2011-11-24 Canon Inc 検出装置及びその製造方法並びに検出システム
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8765573B2 (en) 2010-09-20 2014-07-01 Applied Materials, Inc. Air gap formation
US8329575B2 (en) 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8742598B2 (en) * 2011-10-05 2014-06-03 Infineon Technologies Ag Semiconductor structure and method for making same
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8624394B2 (en) 2011-12-07 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated technology for partial air gap low K deposition
US8859418B2 (en) * 2012-01-11 2014-10-14 Globalfoundries Inc. Methods of forming conductive structures using a dual metal hard mask technique
JP6056852B2 (ja) * 2012-04-24 2017-01-11 株式会社ソシオネクスト 半導体装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6061610B2 (ja) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP6080280B2 (ja) * 2012-10-26 2017-02-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated コンビナトリアルマスキング
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8921235B2 (en) 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140273432A1 (en) * 2013-03-15 2014-09-18 Byung-hee Kim Fabricating method of semiconductor device
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP2014209522A (ja) * 2013-04-16 2014-11-06 富士通株式会社 半導体装置及びその製造方法
KR102037830B1 (ko) * 2013-05-20 2019-10-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9679852B2 (en) 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9269668B2 (en) * 2014-07-17 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect having air gaps and polymer wrapped conductive lines
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10163792B2 (en) * 2014-07-28 2018-12-25 Qualcomm Incorporated Semiconductor device having an airgap defined at least partially by a protective structure
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) * 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9502293B2 (en) * 2014-11-18 2016-11-22 Globalfoundries Inc. Self-aligned via process flow
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
WO2016105344A1 (en) * 2014-12-22 2016-06-30 Intel Corporation Via self alignment and shorting improvement with airgap integration capacitance benefit
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9431292B1 (en) * 2015-04-29 2016-08-30 Globalfoundries Inc. Alternate dual damascene method for forming interconnects
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108369923B (zh) * 2015-09-23 2023-03-14 英特尔公司 防止过孔穿通的无掩模气隙
KR102334736B1 (ko) * 2015-12-03 2021-12-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102616823B1 (ko) * 2015-12-16 2023-12-22 삼성전자주식회사 반도체 장치
US9991249B2 (en) 2016-02-11 2018-06-05 Samsung Electronics Co., Ltd. Integrated circuit and computer-implemented method of manufacturing the same
US9837355B2 (en) 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10269706B2 (en) * 2016-07-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
EP3506342A4 (en) * 2016-08-25 2019-08-28 Sony Semiconductor Solutions Corporation SEMICONDUCTOR COMPONENT, IMAGE RECORDING DEVICE AND METHOD FOR PRODUCING A SEMICONDUCTOR CONSTRUCTION ELEMENT
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10170517B2 (en) * 2016-12-13 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming image sensor device
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
WO2018125239A1 (en) 2016-12-30 2018-07-05 Intel Corporation Microelectronic devices having air gap structures integrated with interconnect for reduced parasitic capacitances
US10727114B2 (en) 2017-01-13 2020-07-28 International Business Machines Corporation Interconnect structure including airgaps and substractively etched metal lines
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN110383472B (zh) * 2017-03-22 2023-03-31 香港科技大学 具有气隙和保护层的ic结构及其制造方法
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
CN109545684B (zh) * 2017-09-22 2020-11-27 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11764062B2 (en) * 2017-11-13 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11244898B2 (en) * 2018-06-29 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd Integrated circuit interconnect structures with air gaps
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10796949B2 (en) 2018-10-19 2020-10-06 International Business Machines Corporation Airgap vias in electrical interconnects
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7287076B2 (ja) * 2018-12-11 2023-06-06 住友ベークライト株式会社 樹脂組成物および電子デバイス製造方法
KR102634459B1 (ko) * 2018-12-24 2024-02-05 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11139302B2 (en) 2019-06-10 2021-10-05 Micron Technology, Inc. Integrated assemblies comprising spaces between bitlines and comprising conductive plates operationally proximate the bitlines, and methods of forming integrated assemblies

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02220464A (ja) * 1989-02-22 1990-09-03 Toshiba Corp 半導体装置及びその製造方法
JPH09237831A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置及びその製造方法
JPH1064938A (ja) * 1996-08-21 1998-03-06 Toshiba Corp 半導体装置及びその製造方法
JPH11126820A (ja) * 1997-08-21 1999-05-11 Matsushita Electron Corp 半導体装置とその製造方法
JP2000124306A (ja) * 1998-10-14 2000-04-28 Fujitsu Ltd 半導体装置及びその製造方法
JP2000294633A (ja) * 1999-04-07 2000-10-20 Sony Corp 半導体装置およびその製造方法
JP2001102447A (ja) * 1999-09-30 2001-04-13 Mitsubishi Electric Corp コンタクト構造の製造方法
JP2001514798A (ja) * 1997-01-21 2001-09-11 ザ ビー.エフ.グッドリッチ カンパニー 超低静電容量配線のためのエアギャップを備える半導体装置の製造

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0683921B1 (en) * 1993-02-04 2004-06-16 Cornell Research Foundation, Inc. Microstructures and single mask, single-crystal process for fabrication thereof
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
US6057224A (en) * 1996-03-29 2000-05-02 Vlsi Technology, Inc. Methods for making semiconductor devices having air dielectric interconnect structures
US5821169A (en) * 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
JPH11317450A (ja) * 1998-05-06 1999-11-16 Sony Corp 半導体装置の製造方法
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6556962B1 (en) * 1999-07-02 2003-04-29 Intel Corporation Method for reducing network costs and its application to domino circuits
US6596624B1 (en) * 1999-07-31 2003-07-22 International Business Machines Corporation Process for making low dielectric constant hollow chip structures by removing sacrificial dielectric material after the chip is joined to a chip carrier
US6261945B1 (en) * 2000-02-10 2001-07-17 International Business Machines Corporation Crackstop and oxygen barrier for low-K dielectric integrated circuits
US20020160563A1 (en) * 2000-03-14 2002-10-31 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US20020145201A1 (en) * 2001-04-04 2002-10-10 Armbrust Douglas Scott Method and apparatus for making air gap insulation for semiconductor devices
US20020187629A1 (en) * 2001-06-06 2002-12-12 I-Hsiung Huang Method for dual damascene process without using gap-filling materials
US20030008490A1 (en) * 2001-07-09 2003-01-09 Guoqiang Xing Dual hardmask process for the formation of copper/low-k interconnects

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02220464A (ja) * 1989-02-22 1990-09-03 Toshiba Corp 半導体装置及びその製造方法
JPH09237831A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置及びその製造方法
JPH1064938A (ja) * 1996-08-21 1998-03-06 Toshiba Corp 半導体装置及びその製造方法
JP2001514798A (ja) * 1997-01-21 2001-09-11 ザ ビー.エフ.グッドリッチ カンパニー 超低静電容量配線のためのエアギャップを備える半導体装置の製造
JPH11126820A (ja) * 1997-08-21 1999-05-11 Matsushita Electron Corp 半導体装置とその製造方法
JP2000124306A (ja) * 1998-10-14 2000-04-28 Fujitsu Ltd 半導体装置及びその製造方法
JP2000294633A (ja) * 1999-04-07 2000-10-20 Sony Corp 半導体装置およびその製造方法
JP2001102447A (ja) * 1999-09-30 2001-04-13 Mitsubishi Electric Corp コンタクト構造の製造方法

Also Published As

Publication number Publication date
JP2007074004A (ja) 2007-03-22
US6841844B2 (en) 2005-01-11
US6642138B2 (en) 2003-11-04
JP2003163264A (ja) 2003-06-06
US20030127740A1 (en) 2003-07-10
US20030064577A1 (en) 2003-04-03
US6555467B2 (en) 2003-04-29
US20030064581A1 (en) 2003-04-03

Similar Documents

Publication Publication Date Title
JP4656803B2 (ja) エアギャップの銅のインタコネクト
KR100482180B1 (ko) 반도체 소자 제조방법
JP4948715B2 (ja) 半導体ウエハ装置およびその製造方法
US6143655A (en) Methods and structures for silver interconnections in integrated circuits
KR100860133B1 (ko) 이온 주입에 의한 측벽 밀도의 국부적 증가
JP4401022B2 (ja) 半導体装置を製造する方法
KR100297966B1 (ko) 다층 배선구조를 형성하는 방법
KR100552812B1 (ko) 반도체 소자의 구리 배선 형성 방법
KR20010004598A (ko) 반도체 소자의 게이트 형성방법
US6682999B1 (en) Semiconductor device having multilevel interconnections and method of manufacture thereof
KR100515370B1 (ko) 반도체 소자의 플러그 제조 방법
US6319813B1 (en) Semiconductor processing methods of forming integrated circuitry and integrated circuitry constructions
KR100571407B1 (ko) 반도체 소자의 배선 제조 방법
KR100307827B1 (ko) 반도체소자의 금속배선 콘택 형성방법
US6340638B1 (en) Method for forming a passivation layer on copper conductive elements
KR100303796B1 (ko) 반도체장치의금속배선형성방법
KR0165758B1 (ko) 반도체 소자의 제조 방법
JPH025412A (ja) 集積回路基板上の装置をメタリゼーション層へ接続させる方法
KR100338092B1 (ko) 반도체소자의제조방법
KR970005683B1 (ko) 반도체 소자의 금속배선 형성방법
KR100571408B1 (ko) 반도체 소자의 듀얼 다마신 배선 제조 방법
KR100353534B1 (ko) 반도체 소자의 금속배선 형성방법
KR100406741B1 (ko) 반도체 소자 제조 방법
KR100458589B1 (ko) 반도체 소자 제조 방법
TW413899B (en) Manufacturing process of unlanded via

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050615

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080708

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080908

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090907

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091029

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100204

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100927

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20101005

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101221

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101221

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140107

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4656803

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D04

LAPS Cancellation because of no payment of annual fees