JP2955459B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP2955459B2
JP2955459B2 JP5320576A JP32057693A JP2955459B2 JP 2955459 B2 JP2955459 B2 JP 2955459B2 JP 5320576 A JP5320576 A JP 5320576A JP 32057693 A JP32057693 A JP 32057693A JP 2955459 B2 JP2955459 B2 JP 2955459B2
Authority
JP
Japan
Prior art keywords
film
oxide film
forming
semiconductor substrate
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP5320576A
Other languages
English (en)
Other versions
JPH07176604A (ja
Inventor
英敏 小池
一成 石丸
博 五條堀
史倫 松岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP5320576A priority Critical patent/JP2955459B2/ja
Priority to US08/356,526 priority patent/US5578518A/en
Priority to EP94120114A priority patent/EP0660391A3/en
Priority to KR1019940035333A priority patent/KR100192629B1/ko
Publication of JPH07176604A publication Critical patent/JPH07176604A/ja
Application granted granted Critical
Publication of JP2955459B2 publication Critical patent/JP2955459B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/782Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, each consisting of a single circuit element
    • H01L21/784Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, each consisting of a single circuit element the substrate being a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/05Etch and refill
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/161Tapered edges
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/911Differential oxidation and etching

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置の製造方法に
関し、特に埋め込み素子分離領域の形成方法に関するも
のである。
【0002】
【従来の技術】集積回路の微細化に伴い、素子分離間距
離も縮小しており、それに対応する方法として埋め込み
素子分離が提案されている。以下、図17を参照して、
埋め込み素子分離領域の形成方法を説明する。
【0003】先ず、シリコン基板101上に酸化膜10
2を100nm程度熱酸化法によって形成する。酸化膜
102上にレジストを塗布し、リソグラフィ法を用いて
レジストパタ−ン103を形成する。レジストパタ−ン
103をマスクとして酸化膜102をエッチング後、更
にシリコン基板101を例えば500nm程度エッチン
グして埋め込み素子分離領域となる溝部104を形成す
る(同図(a))。
【0004】次に、レジストパタ−ン103を除去した
後、エッチングによる損傷を除去するため、酸化膜10
5を溝部104を含む全面に熱酸化法を用いて20nm
程度形成する。その後、酸化膜106を全面に1μm程
度堆積させて溝部104を埋め込む(同図(b))。
【0005】更に、酸化膜106を異方性エッチングや
研磨等によりエッチバックして、溝部104のみを埋め
込む酸化膜106aを形成する。その後、MOSFET
の閾値を合わせ込むためのイオン注入等の工程(図示せ
ず)を行う。ゲ−ト酸化膜107を熱酸化法を用いて1
0nm程度形成し、多結晶シリコンからなるゲ−ト電極
層108を300nm程度形成する(同図(c))。
【0006】このような方法により形成された埋め込み
素子分離領域は、エッチバック工程に対してプロセス的
なマ−ジンを見込む必要があるために、所定のオ−バエ
ッチングが必要となる。その結果、酸化膜106aの表
面は、シリコン基板101の表面に比べて若干落ち込ん
だ形状となる。従って、ゲ−ト酸化膜107は同図
(c)中の丸印hにおいて、ほぼ直角に近い段差のある
形状に形成される。
【0007】
【発明が解決しようとする課題】上述のような埋め込み
素子分離方法であると、埋め込み素子分離領域の表面と
シリコン基板の表面とに段差が生じるため、その段差部
分(エッジ部分)に電界が集中する。そのため、特にゲ
−ト幅の狭いMOSFETにおいて、閾値電圧の低下を
招き問題である。
【0008】それ故に、本発明は、埋め込み素子分離領
域のエッジ部分における電界の集中を緩和して、MOS
FETの閾値の低下を防止し得る埋め込み素子分離領域
の形成方法を提供することを目的とする。
【0009】
【課題を解決するための手段】本発明による半導体装置
の製造方法は、半導体基板に埋め込み素子分離領域を形
成するに際し、上記半導体基板上に第1の酸化膜を形成
する工程と、上記第1の酸化膜上に半導体膜,WSi
膜,MoSi膜,TiSi膜の少なくとも1種からなる
堆積膜と、第2の酸化膜,TiN膜,カーボン膜,Si
N膜の少なくとも1種からなるマスク膜を順次形成す
る工程と、上記マスク膜と上記堆積膜と上記第1の酸化
膜とを選択的に除去する工程と、上記半導体基板をエッ
チングして溝部を形成する工程と、上記第1の酸化膜に
サイドエッチングを施して上記半導体基板の角部を露出
させる工程と、上記溝部を含む全面に熱酸化法により第
3の酸化膜を形成すると共に上記角部を丸くする工程と
を含む。また、本発明の半導体装置の製造方法は、半導
体基板に埋め込み素子分離領域を形成するに際し、上記
半導体基板上に第1の酸化膜を形成する工程と、上記第
1の酸化膜上に半導体膜,WSi膜,MoSi膜,T
iSi膜の少なくとも1種からなる堆積膜と、第2の酸
化膜,TiN膜,カーボン膜,SiN膜の少なくとも1
種からなるマスク膜を順次形成する工程と、上記マス
ク膜と上記堆積膜と上記第1の酸化膜とを選択的に除去
する工程と、上記第1の酸化膜をサイドエッチングする
工程と、上記半導体基板をエッチングして溝部を形成す
ると共に上記半導体基板の角部を露出させる工程と、上
記溝部を含む全面に熱酸化法により第3の酸化膜を形成
すると共に上記角部を丸くする工程とを含む。また、本
発明の半導体装置の製造方法は、半導体基板に設けられ
た溝部内に埋め込み素子分離領域を形成するに際し、上
記半導体基板上に酸化膜を形成する工程と、上記酸化膜
上に酸化によって堆積膨脹する材料からなる堆積膜を形
成する工程と、上記堆積膜上に上記半導体基板に溝部を
設ける際のエッチングマスクを形成する工程と、上記埋
め込み素子分離領域における上記堆積膜及び上記酸化膜
を選択的に除去した後、上記半導体基板をエッチングし
て上記溝部を形成する工程と、上記酸化膜にサイドエッ
チングを施して上記半導体基板の角部を露出させる工程
と、上記溝部を含む全面に熱酸化膜を形成する工程とを
含む。さらに、本発明の半導体装置の製造方法は、半導
体基板に設けられた溝部内に埋め込み素子分離領域を形
成するに際し、上記半導体基板上に酸化膜を形成する工
程と、上記酸化膜上に酸化によって堆積膨脹する材料か
らなる堆積膜を形成する工程と、上記堆積膜上に上記半
導体基板に溝部を設ける際のエッチングマスクを形成す
る工程と、上記埋め込み素子分離領域における上記堆積
膜及び上記酸化膜を選択的に除去する工程と、上記酸化
膜をサイドエッチングする工程と、上記半導体基板をエ
ッチングして上記溝部を形成すると共に上記半導体基板
の角部を露出させる工程と、上記溝部を含む全面に熱酸
化膜を形成する工程とを含む。
【0010】
【作用】上記方法によると、上記堆積膜が酸化によって
堆積膨脹する効果により、上記半導体基板の角部が丸く
なる。従って、上記溝部内へ充填材となる材料を埋め込
んだ際に、その材料の表面と上記半導体基板の表面とは
直角な段差がなく、なだらかな形状となる。
【0011】
【実施例】以下、図面を用いて本発明による一実施例を
説明する。本発明による第1の実施例を図1乃至図7を
参照して説明する。先ず、シリコン基板11上に熱酸化
法によって第1の酸化膜12を25nm程度形成し、多
結晶シリコン膜13を化学気相成長法を用いて200n
m程度形成し、第2の酸化膜14を化学気相成長法を用
いて200nm程度形成する。その後、第2の酸化膜1
4上にレジストを塗布して、これをリソグラフィ法によ
り所定形状のレジストパタ−ン15を形成する(図
1)。
【0012】次に、レジストパタ−ン15をエッチング
マスクとして、第2の酸化膜14と多結晶シリコン膜1
3と第1の酸化膜12とを順次異方性エッチングを施
し、レジストパタ−ン15を除去する。その後、第2の
酸化膜14をエッチングマスクとして、シリコン基板1
1を例えば700nm程度異方性エッチング法を用いて
除去し、埋め込み素子分離領域となる溝部16を形成す
る(図2)。
【0013】その後、第1の酸化膜12を等方的にエッ
チングして横方向に所定量、例えば50nm程度後退さ
せる。丸印a部分に示すように、溝部16の壁面に比べ
てえぐれている。エッチング方法としては、NH4Fや
HFを用いたウエットエッチング法若しくはCDE法が
あり、いずれの方法でも可能である。尚、第2の酸化膜
14は、第1の酸化膜12をエッチングする際に、同時
にエッチング除去される(図3)。
【0014】次に、溝部16を形成した際にシリコン基
板11に生じる欠陥等を除去するため、熱酸化法を用い
て第3の酸化膜17を35nm程度形成する。この時
に、シリコン基板11のエッジ部分が露出しているため
に熱酸化によってコ−ナ−が酸化され、多結晶シリコン
膜13が酸化によって堆積膨脹する効果により、丸印b
の如く、シリコン基板11のコ−ナが丸くなる(図
4)。
【0015】その後、溝部16を含む全面に化学気相成
長法を用いて、第4の酸化膜18を1μm程度形成する
(図5)。次に、第4の酸化膜18を研磨及び異方性エ
ッチング法等を用いてエッチバックして、溝部16のみ
を埋め込む酸化膜18aを形成する。尚、多結晶シリコ
ン膜13はエッチバックの際のストッパ−膜となってい
る(図6)。
【0016】その後、多結晶シリコン膜13を除去し、
更に第1の酸化膜12を除去する。それにより、埋め込
み素子分離領域が形成される。シリコン基板11の表面
と酸化膜18aの表面は、垂直段差がないなだらかな形
状に形成される(図7)。
【0017】次に、図8を参照して、上記埋め込み素子
分離領域を有するシリコン基板11を用いたMOSFE
Tを説明する。埋め込み素子分離領域形成後、所定の閾
値に合わせ込む為にイオン注入等を行う。その後、ゲ−
ト酸化膜19を熱酸化法によって10nm程度形成し、
多結晶シリコンからなるゲ−ト電極層20を例えば30
0nm程度形成する。ゲ−ト酸化膜19は、シリコン基
板11と埋め込み素子分離領域である酸化膜18aとの
境界部分(丸印c)において、なだらかな形状に形成さ
れている。それにより、シリコン基板11の端部におけ
る電界集中を緩和することができる。
【0018】図9を参照して本発明による第2の実施例
を説明する。但し、第1の実施例と異なるところのみ説
明する。まず、第1の実施例の図1から図3に示される
工程まで行う。次に、図9に示すように、シリコン基板
11に等方性エッチングを施して、シリコン基板11の
コ−ナ−部分(丸印d)を丸める。その後、第1の実施
例の図4の如く第3の酸化膜17を形成し、以後同様に
行う。
【0019】このように本実施例では、シリコン基板1
1のコ−ナ−部分を露出させた後、等方性エッチングを
施して予めコ−ナ−部分を丸め、その後、第3の酸化膜
17を形成する。それにより、コ−ナ−部分は2度丸ま
ることになり、第1の実施例に比べて、コ−ナ−の丸ま
りが大きくなり、電界集中を緩和する効果を更に大きく
することができる。
【0020】次に、本発明による第3の実施例を図10
乃至図14より説明する。但し、第1の実施例と異なる
ところのみを説明する。シリコン基板11上に熱酸化法
によって第1の酸化膜12を25nm程度形成し、多結
晶シリコン膜13を化学気相成長法を用いて200nm
程度形成し、第2の酸化膜14を化学気相成長法を用い
て200nm程度形成する。その後、レジストを塗布し
て、これをリソグラフィ法により所定形状のレジストパ
タ−ン15を形成する(図10)。
【0021】次に、異方性エッチング法によりレジスト
パタ−ン15をマスクに用いて、第2の酸化膜14と多
結晶シリコン膜13と第1の酸化膜12とを順次エッチ
ングする(図11)。
【0022】その後、第1の酸化膜12を等方性エッチ
ングして、横方向に例えば50nm程度後退させる。等
方性エッチングとして、NH4FやHFによるウエット
エッチング法若しくはCDE法等がある。尚、等方性エ
ッチングの際に、第2の酸化膜14も第1の酸化膜12
と同様にエッチングされるが構わない。丸印eで示され
るように第1の酸化膜12及び第2の酸化膜14は横方
向にエッチングされている(図12)。
【0023】レジストパタ−ン15をエッチングマスク
として、シリコン基板11を例えば700nm程度異方
性エッチングして、埋め込み素子分離領域となる溝部1
6を形成する(図13)。
【0024】その後、レジストパターン15を除去す
る。熱酸化法を用いて、溝部16を含む全面に第3の酸
化膜17を35nm程度形成する。この時に、シリコン
基板11のエッジ部分が露出しているために熱酸化によ
ってコーナーが酸化され、多結晶シリコン膜13が酸化
によって堆積膨脹する効果によって、丸印fに示すよう
に、シリコン基板11のコーナーが丸くなる(図
)。その後、第1の実施例の図5以降の工程と同様に
行う。
【0025】本実施例においても、第2の実施例と同様
に第1の酸化膜12を横方向にエッチングすることによ
り、MOSFETが形成される面のシリコン基板11の
エッジ部分を露出させている。その状態で、熱酸化する
ことで多結晶シリコン膜が酸化によって堆積膨脹する効
果によってシリコン基板11のコ−ナ−を丸くすること
ができる。
【0026】次に、本発明による第4の実施例を図15
を参照して説明する。但し、第3の実施例とことなると
ころのみを説明する。まず、第3の実施例の図10から
図13に示される工程まで行う。次に、図15に示すよ
うに、シリコン基板11に等方性エッチングを施してシ
リコン基板11のコ−ナ−部分(丸印g)を丸める。そ
の後、第3の実施例の図14の如く第3の酸化膜17を
形成して以後同様に行う。このように本実施例は、第2
実施例の第1実施例に対する効果と同様に、シリコン基
板11のコ−ナ−部分を2度丸まることになり、より丸
まりを大きくすることができる。
【0027】上述のような方法により形成された埋め込
み素子分離領域を有するシリコン基板を用いて、MOS
FETを形成した際の閾値電圧特性を図16より説明す
る。横軸はゲート幅[μm]縦軸は閾値電圧[V]を
示す。従来の方法であると、ゲート幅が0.4μmの時
の閾値電圧はゲート幅が1.2μmの場合に比べて、著
しく低下している。一方、本発明によると、ゲート幅が
0.4μmであってもゲート幅が1.2μmの場合と同
じ閾値電圧を保つことができる。
【0028】尚、本発明の実施例において、溝部16へ
の充填材となる材料をエッチバックする際のストッパ−
(堆積膜)として多結晶シリコン膜(半導体膜)13を
用いており、溝部16を形成する際のエッチングマスク
(マスク膜)として第2の酸化膜(SiO2 )を用いて
いる。それらに限るものではなく、上記ストッパ−は酸
化によって堆積が膨脹する材料とすればよい。例えば上
記ストッパ−として、WSi膜,MoSi膜,TiSi
膜等を用いることができ、上記エッチングマスクを上記
ストッパ−と異なる材料を組み合わせるものであれば、
TiN膜,カーボン膜,SiN膜等を用いることができ
る。
【0029】また、溝部16への充填材として第4の酸
化膜(SiO2)を用いているが、これに限るものでは
なく、SiN,Si,PSG,BPSGなどを埋め込み
材料として用いることも可能である。
【0030】
【発明の効果】本発明によれば、埋め込み素子分離領域
の表面とシリコン基板の表面とを直角な段差がなくなめ
らかにすることができる。従って、MOSFETを形成
する際に、埋め込み素子分離領域とシリコン基板との境
界部分に電界が集中することなく、ゲ−ト幅の狭い場合
であっても閾値電圧を一定に保つことができる。
【図面の簡単な説明】
【図1】本発明による第1の実施例を示す第1の工程断
面図である。
【図2】本発明による第1の実施例を示す第2の工程断
面図である。
【図3】本発明による第1の実施例を示す第3の工程断
面図である。
【図4】本発明による第1の実施例を示す第4の工程断
面図である。
【図5】本発明による第1の実施例を示す第5の工程断
面図である。
【図6】本発明による第1の実施例を示す第6の工程断
面図である。
【図7】本発明による第1の実施例を示す第7の工程断
面図である。
【図8】本発明の方法により形成された素子分離領域を
有する半導体基板と、それを用いて形成されたMOSF
ETのゲ−ト酸化膜及びゲ−ト電極層部分を示す断面図
である。
【図9】本発明による第2の実施例における特徴的な部
分を示す断面図である。
【図10】本発明による第3の実施例を示す第1の工程
断面図である。
【図11】本発明による第3の実施例を示す第2の工程
断面図である。
【図12】本発明による第3の実施例を示す第3の工程
断面図である。
【図13】本発明による第3の実施例を示す第4の工程
断面図である。
【図14】本発明による第3の実施例を示す第5の工程
断面図である。
【図15】本発明による第4の実施例における特徴的な
部分を示す断面図である。
【図16】本発明によるMOSFET及び従来における
MOSFETのゲート幅に対する閾値電圧の変化を示す
グラフ図である。
【図17】従来の埋め込み素子分離領域の形成方法を示
す(a)〜(c)からなる工程断面図である
【符号の説明】
11…シリコン基板、12…第1の酸化膜、13…多結
晶シリコン膜 14…第2の酸化膜、15…レジストパタ−ン、16…
溝部 17…第3の酸化膜、18…第4の酸化膜、19…ゲ−
ト酸化膜 20…ゲ−ト電極層
───────────────────────────────────────────────────── フロントページの続き (72)発明者 松岡 史倫 神奈川県川崎市幸区小向東芝町1番地 株式会社東芝研究開発センター内 (56)参考文献 特開 平4−303942(JP,A) 特開 平3−44060(JP,A) (58)調査した分野(Int.Cl.6,DB名) H01L 21/76 - 21/765

Claims (5)

    (57)【特許請求の範囲】
  1. 【請求項1】 半導体基板に埋め込み素子分離領域を形
    成するに際し、 上記半導体基板上に第1の酸化膜を形成する工程と、 上記第1の酸化膜上に半導体膜,WSi膜,MoSi
    膜,TiSi膜の少なくとも1種からなる堆積膜と、
    2の酸化膜,TiN膜,カーボン膜,SiN膜の少なく
    とも1種からなるマスク膜を順次形成する工程と、 上記マスク膜と上記堆積膜と上記第1の酸化膜とを選択
    的に除去する工程と、上記半導体基板をエッチングして
    溝部を形成する工程と、 上記第1の酸化膜にサイドエッチングを施して上記半導
    体基板の角部を露出させる工程と、 上記溝部を含む全面に熱酸化法により第3の酸化膜を形
    成すると共に上記角部を丸くする工程とからなることを
    特徴とする半導体装置の製造方法。
  2. 【請求項2】 半導体基板に埋め込み素子分離領域を形
    成するに際し、 上記半導体基板上に第1の酸化膜を形成する工程と、 上記第1の酸化膜上に半導体膜,WSi膜,MoSi
    膜,TiSi膜の少なくとも1種からなる堆積膜と、
    2の酸化膜,TiN膜,カーボン膜,SiN膜の少なく
    とも1種からなるマスク膜を順次形成する工程と、 上記マスク膜と上記堆積膜と上記第1の酸化膜とを選択
    的に除去する工程と、上記第1の酸化膜をサイドエッチ
    ングする工程と、 上記半導体基板をエッチングして溝部を形成すると共に
    上記半導体基板の角部を露出させる工程と、 上記溝部を含む全面に熱酸化法により第3の酸化膜を形
    成すると共に上記角部を丸くする工程とからなることを
    特徴とする半導体装置の製造方法。
  3. 【請求項3】 半導体基板に設けられた溝部内に埋め込
    み素子分離領域を形成するに際し、 上記半導体基板上に酸化膜を形成する工程と、 上記酸化膜上に酸化によって堆積膨脹する材料からなる
    堆積膜を形成する工程と、 上記堆積膜上に上記半導体基板に溝部を設ける際のエッ
    チングマスクを形成する工程と、 上記埋め込み素子分離領域における上記堆積膜及び上記
    酸化膜を選択的に除去した後、上記半導体基板をエッチ
    ングして上記溝部を形成する工程と、 上記酸化膜にサイドエッチングを施して上記半導体基板
    の角部を露出させる工程と、 上記溝部を含む全面に熱酸化膜を形成する工程とからな
    ることを特徴とする半導体装置の製造方法。
  4. 【請求項4】 半導体基板に設けられた溝部内に埋め込
    み素子分離領域を形成するに際し、 上記半導体基板上に酸化膜を形成する工程と、 上記酸化膜上に酸化によって堆積膨脹する材料からなる
    堆積膜を形成する工程と、 上記堆積膜上に上記半導体基板に溝部を設ける際のエッ
    チングマスクを形成する工程と、 上記埋め込み素子分離領域における上記堆積膜及び上記
    酸化膜を選択的に除去する工程と、 上記酸化膜をサイドエッチングする工程と、 上記半導体基板をエッチングして上記溝部を形成すると
    共に上記半導体基板の角部を露出させる工程と、 上記溝部を含む全面に熱酸化膜を形成する工程とからな
    ることを特徴とする半導体装置の製造方法。
  5. 【請求項5】 上記半導体基板の角部を露出させる工程
    の後、上記半導体基板に等方性エッチングを施して上記
    半導体基板の角部を丸める工程をさらに含むことを特徴
    とする請求項1〜4のいずれかに記載の半導体装置の製
    造方法。
JP5320576A 1993-12-20 1993-12-20 半導体装置の製造方法 Expired - Fee Related JP2955459B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP5320576A JP2955459B2 (ja) 1993-12-20 1993-12-20 半導体装置の製造方法
US08/356,526 US5578518A (en) 1993-12-20 1994-12-15 Method of manufacturing a trench isolation having round corners
EP94120114A EP0660391A3 (en) 1993-12-20 1994-12-19 Semiconductor arrangement with an isolation zone with an isolation trench and method for producing the same.
KR1019940035333A KR100192629B1 (ko) 1993-12-20 1994-12-20 반도체장치의 제조방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5320576A JP2955459B2 (ja) 1993-12-20 1993-12-20 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH07176604A JPH07176604A (ja) 1995-07-14
JP2955459B2 true JP2955459B2 (ja) 1999-10-04

Family

ID=18122974

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5320576A Expired - Fee Related JP2955459B2 (ja) 1993-12-20 1993-12-20 半導体装置の製造方法

Country Status (4)

Country Link
US (1) US5578518A (ja)
EP (1) EP0660391A3 (ja)
JP (1) JP2955459B2 (ja)
KR (1) KR100192629B1 (ja)

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0138307B1 (ko) * 1994-12-14 1998-06-01 김광호 반도체 장치의 측면콘택 형성방법
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US6064104A (en) 1996-01-31 2000-05-16 Advanced Micro Devices, Inc. Trench isolation structures with oxidized silicon regions and method for making the same
KR100213196B1 (ko) * 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US5712185A (en) * 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
JP3688816B2 (ja) * 1996-07-16 2005-08-31 株式会社東芝 半導体装置の製造方法
JP3611226B2 (ja) * 1996-09-17 2005-01-19 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US5834358A (en) * 1996-11-12 1998-11-10 Micron Technology, Inc. Isolation regions and methods of forming isolation regions
US5858866A (en) * 1996-11-22 1999-01-12 International Business Machines Corportation Geometrical control of device corner threshold
US6114741A (en) * 1996-12-13 2000-09-05 Texas Instruments Incorporated Trench isolation of a CMOS structure
TW350122B (en) * 1997-02-14 1999-01-11 Winbond Electronics Corp Method of forming a shallow groove
JP3547279B2 (ja) * 1997-02-18 2004-07-28 株式会社ルネサステクノロジ 半導体装置の製造方法
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
CN1112727C (zh) * 1997-02-18 2003-06-25 株式会社日立制作所 半导体器件及其制造工艺
US5786262A (en) * 1997-04-09 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-planarized gapfilling for shallow trench isolation
JP3904676B2 (ja) * 1997-04-11 2007-04-11 株式会社ルネサステクノロジ トレンチ型素子分離構造の製造方法およびトレンチ型素子分離構造
JPH10303291A (ja) * 1997-04-25 1998-11-13 Nippon Steel Corp 半導体装置及びその製造方法
US6077786A (en) * 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
KR100446279B1 (ko) * 1997-05-19 2004-10-14 삼성전자주식회사 반도체장치의 트랜치 식각방법
US5863827A (en) * 1997-06-03 1999-01-26 Texas Instruments Incorporated Oxide deglaze before sidewall oxidation of mesa or trench
US5880004A (en) * 1997-06-10 1999-03-09 Winbond Electronics Corp. Trench isolation process
JP3602313B2 (ja) * 1997-06-30 2004-12-15 富士通株式会社 半導体装置の製造方法
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JPH1131742A (ja) * 1997-07-14 1999-02-02 Mitsubishi Electric Corp 半導体装置の製造方法
US5981356A (en) * 1997-07-28 1999-11-09 Integrated Device Technology, Inc. Isolation trenches with protected corners
US5837612A (en) * 1997-08-01 1998-11-17 Motorola, Inc. Silicon chemical mechanical polish etch (CMP) stop for reduced trench fill erosion and method for formation
KR100437010B1 (ko) * 1997-09-12 2004-07-16 삼성전자주식회사 트랜치 식각 방법 및 그를 이용한 트랜치 격리의 형성 방법
KR100442852B1 (ko) * 1997-09-12 2004-09-18 삼성전자주식회사 트렌치 소자분리 영역 형성방법
US5811345A (en) * 1997-09-18 1998-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Planarization of shallow- trench- isolation without chemical mechanical polishing
US6103635A (en) * 1997-10-28 2000-08-15 Fairchild Semiconductor Corp. Trench forming process and integrated circuit device including a trench
JPH11154701A (ja) * 1997-11-21 1999-06-08 Mitsubishi Electric Corp 半導体装置
US5972758A (en) * 1997-12-04 1999-10-26 Intel Corporation Pedestal isolated junction structure and method of manufacture
US5930645A (en) * 1997-12-18 1999-07-27 Advanced Micro Devices, Inc. Shallow trench isolation formation with reduced polish stop thickness
US5970362A (en) * 1997-12-18 1999-10-19 Advanced Micro Devices, Inc. Simplified shallow trench isolation formation with no polish stop
US5969394A (en) * 1997-12-18 1999-10-19 Advanced Micro Devices, Inc. Method and structure for high aspect gate and short channel length insulated gate field effect transistors
KR100440266B1 (ko) * 1997-12-31 2004-09-18 주식회사 하이닉스반도체 반도체 소자의 필드 산화막 형성 방법
TW407335B (en) * 1998-01-23 2000-10-01 United Microelectronics Corp Method of producing shallow trench isolation
WO1999044223A2 (en) * 1998-02-27 1999-09-02 Lsi Logic Corporation Process of shallow trench isolating active devices to avoid sub-threshold kinks arising from corner effects without additional processing
KR100280107B1 (ko) 1998-05-07 2001-03-02 윤종용 트렌치 격리 형성 방법
US6110793A (en) * 1998-06-24 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for making a trench isolation having a conformal liner oxide and top and bottom rounded corners for integrated circuits
TW444333B (en) * 1998-07-02 2001-07-01 United Microelectronics Corp Method for forming corner rounding of shallow trench isolation
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6518145B1 (en) 1998-08-06 2003-02-11 International Business Machines Corporation Methods to control the threshold voltage of a deep trench corner device
KR20000013397A (ko) * 1998-08-07 2000-03-06 윤종용 트렌치 격리 형성 방법
US6265282B1 (en) * 1998-08-17 2001-07-24 Micron Technology, Inc. Process for making an isolation structure
US6372601B1 (en) * 1998-09-03 2002-04-16 Micron Technology, Inc. Isolation region forming methods
US6274498B1 (en) * 1998-09-03 2001-08-14 Micron Technology, Inc. Methods of forming materials within openings, and method of forming isolation regions
US5998848A (en) * 1998-09-18 1999-12-07 International Business Machines Corporation Depleted poly-silicon edged MOSFET structure and method
KR100297737B1 (ko) * 1998-09-24 2001-11-01 윤종용 반도체소자의 트렌치 소자 분리 방법
KR100292616B1 (ko) 1998-10-09 2001-07-12 윤종용 트렌치격리의제조방법
US6127215A (en) 1998-10-29 2000-10-03 International Business Machines Corp. Deep pivot mask for enhanced buried-channel PFET performance and reliability
US6074931A (en) * 1998-11-05 2000-06-13 Vanguard International Semiconductor Corporation Process for recess-free planarization of shallow trench isolation
TW396521B (en) * 1998-11-06 2000-07-01 United Microelectronics Corp Process for shallow trench isolation
US6080637A (en) * 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
KR100498591B1 (ko) * 1998-12-24 2005-09-30 주식회사 하이닉스반도체 고집적 반도체소자의 트렌치 소자분리방법
JP3955404B2 (ja) * 1998-12-28 2007-08-08 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
KR100322531B1 (ko) 1999-01-11 2002-03-18 윤종용 파임방지막을 이용하는 반도체소자의 트랜치 소자분리방법 및이를 이용한 반도체소자
US6027982A (en) * 1999-02-05 2000-02-22 Chartered Semiconductor Manufacturing Ltd. Method to form shallow trench isolation structures with improved isolation fill and surface planarity
US6225187B1 (en) * 1999-02-12 2001-05-01 Nanya Technology Corporation Method for STI-top rounding control
US6281050B1 (en) 1999-03-15 2001-08-28 Kabushiki Kaisha Toshiba Manufacturing method of a semiconductor device and a nonvolatile semiconductor storage device
US7045468B2 (en) * 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
JP3917327B2 (ja) * 1999-06-01 2007-05-23 株式会社ルネサステクノロジ 半導体装置の製造方法及び装置
KR100369353B1 (ko) * 1999-06-28 2003-01-24 주식회사 하이닉스반도체 개선된 트렌치 소자분리막 형성방법
JP3439387B2 (ja) * 1999-07-27 2003-08-25 日本電気株式会社 半導体装置の製造方法
US6265283B1 (en) * 1999-08-12 2001-07-24 Advanced Micro Devices, Inc. Self-aligning silicon oxynitride stack for improved isolation structure
KR100338767B1 (ko) 1999-10-12 2002-05-30 윤종용 트렌치 소자분리 구조와 이를 갖는 반도체 소자 및 트렌치 소자분리 방법
US6221736B1 (en) * 1999-12-09 2001-04-24 United Semiconductor Corp. Fabrication method for a shallow trench isolation structure
KR20010058339A (ko) * 1999-12-27 2001-07-05 박종섭 반도체 소자의 소자분리막 형성방법
JP4200626B2 (ja) * 2000-02-28 2008-12-24 株式会社デンソー 絶縁ゲート型パワー素子の製造方法
US6541382B1 (en) 2000-04-17 2003-04-01 Taiwan Semiconductor Manufacturing Company Lining and corner rounding method for shallow trench isolation
KR100674896B1 (ko) * 2000-07-26 2007-01-26 삼성전자주식회사 반도체 집적회로의 트렌치 소자 분리 방법
US6355538B1 (en) * 2000-09-18 2002-03-12 Vanguard International Semiconductor Corporation Method of forming isolation material with edge extension structure
JP4832629B2 (ja) * 2000-10-04 2011-12-07 ルネサスエレクトロニクス株式会社 半導体装置
US6368941B1 (en) * 2000-11-08 2002-04-09 United Microelectronics Corp. Fabrication of a shallow trench isolation by plasma oxidation
US20020068415A1 (en) * 2000-12-01 2002-06-06 Hua-Chou Tseng Method of fabricating a shallow trench isolation structure
JP2002203894A (ja) * 2001-01-04 2002-07-19 Mitsubishi Electric Corp 半導体装置の製造方法
US6524929B1 (en) 2001-02-26 2003-02-25 Advanced Micro Devices, Inc. Method for shallow trench isolation using passivation material for trench bottom liner
US6486038B1 (en) 2001-03-12 2002-11-26 Advanced Micro Devices Method for and device having STI using partial etch trench bottom liner
US6521510B1 (en) 2001-03-23 2003-02-18 Advanced Micro Devices, Inc. Method for shallow trench isolation with removal of strained island edges
US6534379B1 (en) 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. Linerless shallow trench isolation method
US6583488B1 (en) 2001-03-26 2003-06-24 Advanced Micro Devices, Inc. Low density, tensile stress reducing material for STI trench fill
JP2003017595A (ja) * 2001-06-29 2003-01-17 Toshiba Corp 半導体装置
US6890859B1 (en) 2001-08-10 2005-05-10 Cypress Semiconductor Corporation Methods of forming semiconductor structures having reduced defects, and articles and devices formed thereby
US7208390B2 (en) * 2001-11-29 2007-04-24 Freescale Semiconductor, Inc. Semiconductor device structure and method for forming
US6579801B1 (en) * 2001-11-30 2003-06-17 Advanced Micro Devices, Inc. Method for enhancing shallow trench top corner rounding using endpoint control of nitride layer etch process with appropriate etch front
US6838392B1 (en) * 2002-03-15 2005-01-04 Cypress Semiconductor Corporation Methods of forming semiconductor structures, and articles and devices formed thereby
KR100430681B1 (ko) * 2002-06-29 2004-05-10 주식회사 하이닉스반도체 반도체소자의 소자분리막 형성방법
US6828212B2 (en) * 2002-10-22 2004-12-07 Atmel Corporation Method of forming shallow trench isolation structure in a semiconductor device
KR100546852B1 (ko) * 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
JP3944087B2 (ja) * 2003-01-21 2007-07-11 株式会社東芝 素子形成用基板の製造方法
US6890833B2 (en) * 2003-03-26 2005-05-10 Infineon Technologies Ag Trench isolation employing a doped oxide trench fill
US6753237B1 (en) * 2003-04-28 2004-06-22 Macronix International Co., Ltd. Method of shallow trench isolation fill-in without generation of void
US6905943B2 (en) * 2003-11-06 2005-06-14 Texas Instruments Incorporated Forming a trench to define one or more isolation regions in a semiconductor structure
JP2005142319A (ja) * 2003-11-06 2005-06-02 Renesas Technology Corp 半導体装置の製造方法
JP4825402B2 (ja) * 2004-01-14 2011-11-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7056804B1 (en) * 2004-03-01 2006-06-06 Advanced Micro Devices, Inc. Shallow trench isolation polish stop layer for reduced topography
US6979627B2 (en) * 2004-04-30 2005-12-27 Freescale Semiconductor, Inc. Isolation trench
US7129149B1 (en) 2004-06-07 2006-10-31 Integrated Device Technology, Inc. Method for forming shallow trench isolation structure with anti-reflective liner
US7176104B1 (en) 2004-06-08 2007-02-13 Integrated Device Technology, Inc. Method for forming shallow trench isolation structure with deep oxide region
JP4836416B2 (ja) * 2004-07-05 2011-12-14 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100613372B1 (ko) * 2004-07-13 2006-08-21 동부일렉트로닉스 주식회사 반도체 장치의 소자 분리 영역 형성 방법
JP4646743B2 (ja) * 2004-09-08 2011-03-09 三洋電機株式会社 半導体装置の製造方法
KR100577305B1 (ko) 2004-12-21 2006-05-10 동부일렉트로닉스 주식회사 반도체 소자의 격리막 형성방법
KR20060076099A (ko) * 2004-12-29 2006-07-04 동부일렉트로닉스 주식회사 반도체 소자의 sti 코너 라운딩 방법
US7611950B2 (en) * 2004-12-29 2009-11-03 Dongbu Electronics Co., Ltd. Method for forming shallow trench isolation in semiconductor device
TW200625437A (en) * 2004-12-30 2006-07-16 Macronix Int Co Ltd Shallow trench isolation process of forming smooth edge angle by cleaning procedure
KR100695868B1 (ko) * 2005-06-23 2007-03-19 삼성전자주식회사 소자 분리막과 그 제조 방법, 이를 갖는 반도체 장치 및 그제조 방법
US7687370B2 (en) * 2006-01-27 2010-03-30 Freescale Semiconductor, Inc. Method of forming a semiconductor isolation trench
US7670895B2 (en) 2006-04-24 2010-03-02 Freescale Semiconductor, Inc Process of forming an electronic device including a semiconductor layer and another layer adjacent to an opening within the semiconductor layer
US7491622B2 (en) 2006-04-24 2009-02-17 Freescale Semiconductor, Inc. Process of forming an electronic device including a layer formed using an inductively coupled plasma
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7601607B2 (en) * 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
US7982284B2 (en) * 2006-06-28 2011-07-19 Infineon Technologies Ag Semiconductor component including an isolation structure and a contact to the substrate
JP2008226935A (ja) * 2007-03-09 2008-09-25 Fujitsu Ltd 半導体装置の製造方法
KR101471858B1 (ko) * 2008-09-05 2014-12-12 삼성전자주식회사 바 타입의 액티브 패턴을 구비하는 반도체 장치 및 그 제조방법

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4563227A (en) * 1981-12-08 1986-01-07 Matsushita Electric Industrial Co., Ltd. Method for manufacturing a semiconductor device
US4663832A (en) * 1984-06-29 1987-05-12 International Business Machines Corporation Method for improving the planarity and passivation in a semiconductor isolation trench arrangement
JPS61154144A (ja) * 1984-12-27 1986-07-12 Nec Corp 半導体装置及びその製造方法
JPS63166230A (ja) * 1986-12-26 1988-07-09 Toshiba Corp ドライエツチング方法
JPS63287024A (ja) * 1987-05-19 1988-11-24 Seiko Epson Corp 半導体装置の製造方法
JPS63314844A (ja) * 1987-06-18 1988-12-22 Toshiba Corp 半導体装置の製造方法
JPS6445165A (en) * 1987-08-13 1989-02-17 Toshiba Corp Semiconductor device and manufacture thereof
US5258332A (en) * 1987-08-28 1993-11-02 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices including rounding of corner portions by etching
US4931409A (en) * 1988-01-30 1990-06-05 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having trench isolation
JPH01241129A (ja) * 1988-03-23 1989-09-26 Toshiba Corp 半導体装置の製造方法
KR940003218B1 (ko) * 1988-03-24 1994-04-16 세이꼬 엡슨 가부시끼가이샤 반도체 장치 및 그 제조방법
JPH034541A (ja) * 1989-06-01 1991-01-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5332683A (en) * 1989-06-14 1994-07-26 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having elements isolated by trench
JPH03129854A (ja) * 1989-10-16 1991-06-03 Toshiba Corp 半導体装置の製造方法
JP2667552B2 (ja) * 1990-05-28 1997-10-27 株式会社東芝 半導体装置の製造方法

Also Published As

Publication number Publication date
JPH07176604A (ja) 1995-07-14
KR100192629B1 (ko) 1999-06-15
EP0660391A3 (en) 1997-03-05
KR950021347A (ko) 1995-07-26
US5578518A (en) 1996-11-26
EP0660391A2 (en) 1995-06-28

Similar Documents

Publication Publication Date Title
JP2955459B2 (ja) 半導体装置の製造方法
US5766823A (en) Method of manufacturing semiconductor devices
JP2505961B2 (ja) 半導体構造用のスタッドを形成する方法および半導体デバイス
JP2762976B2 (ja) 半導体装置の製造方法
JPH06140501A (ja) 半導体装置およびその製造方法
US5977599A (en) Formation of a metal via using a raised metal plug structure
US6143623A (en) Method of forming a trench isolation for semiconductor device with lateral projections above substrate
JP3057882B2 (ja) 半導体装置の製造方法
JP2745970B2 (ja) 半導体装置の製造方法
JP3127893B2 (ja) 半導体装置および半導体装置の製造方法
JP2955838B2 (ja) 半導体装置の製造方法
KR100214534B1 (ko) 반도체소자의 소자격리구조 형성방법
US6707099B2 (en) Semiconductor device and manufacturing method thereof
JPH0562463B2 (ja)
JP2964635B2 (ja) 半導体記憶装置の製造方法
JP3483090B2 (ja) 半導体装置の製造方法
JP3190144B2 (ja) 半導体集積回路の製造方法
JP2738369B2 (ja) 半導体装置の製造方法
JPS6312381B2 (ja)
JP2612357B2 (ja) トランジスタのゲート電極の製造方法
JPH0550138B2 (ja)
JPH06252137A (ja) 半導体装置の製造方法
JP2000195943A (ja) トレンチ素子分離領域を有する半導体装置の製造方法
JP2000200830A (ja) トレンチ素子分離領域を有する半導体装置の製造方法
JPH0794733A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080716

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090716

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090716

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100716

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110716

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120716

Year of fee payment: 13

LAPS Cancellation because of no payment of annual fees