JP2762976B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP2762976B2
JP2762976B2 JP7336922A JP33692295A JP2762976B2 JP 2762976 B2 JP2762976 B2 JP 2762976B2 JP 7336922 A JP7336922 A JP 7336922A JP 33692295 A JP33692295 A JP 33692295A JP 2762976 B2 JP2762976 B2 JP 2762976B2
Authority
JP
Japan
Prior art keywords
silicon oxide
mask pattern
pattern portion
semiconductor device
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP7336922A
Other languages
English (en)
Other versions
JPH09181158A (ja
Inventor
仁 安彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co Ltd filed Critical Nippon Electric Co Ltd
Priority to JP7336922A priority Critical patent/JP2762976B2/ja
Priority to DE69621412T priority patent/DE69621412T2/de
Priority to EP96120807A priority patent/EP0782185B1/en
Priority to US08/772,518 priority patent/US5677233A/en
Priority to KR1019960071475A priority patent/KR100237275B1/ko
Publication of JPH09181158A publication Critical patent/JPH09181158A/ja
Application granted granted Critical
Publication of JP2762976B2 publication Critical patent/JP2762976B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/05Etch and refill

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、特に溝分離方法により素子分離領域が形成
される半導体装置の製造方法に関する。
【0002】
【従来の技術】図3は、従来の溝分離方法を用いた半導
体装置の製造方法を説明するための図で、(a)〜
(e)は各工程の断面工程図である。以下、図3を参照
して従来の溝分離方法を用いた半導体装置の製造方法に
ついて説明する。
【0003】まず、図3(a)に示すように、シリコン
基板101上に酸化シリコン102、窒化シリコン10
3を順次堆積した後、フォトレジスト104をマスクと
してこれらをエッチングしてシリコン基板101に溝1
05を形成する。
【0004】続いて、フォトレジスト104を除去し、
図3(b)に示すように、溝105を減圧CVD法で成
長した酸化シリコン106で埋め込む。その後、図3
(c)に示すように、窒化シリコン103が露出し、か
つ、窒化シリコン103と酸化シリコン106の表面が
同一面になるまでこれらを研磨する。
【0005】窒化シリコン103と酸化シリコン106
の表面が同一面になるまで研磨されると、続いて、酸化
シリコン106をその表面が酸化シリコン102の面と
ほぼ同一になるまで弗酸で選択的にエッチングする。次
いで、酸化シリコン103を150℃程度に加熱したリ
ン酸で選択的に除去し、チャネル部の不純物プロファイ
ルを調整する不純物イオン注入を行う。その後、酸化シ
リコン102を弗酸で選択的に除去して図3(d)に示
すような構成とし、これにゲート絶縁膜107を形成
し、ゲート電極となる多結晶シリコン108を堆積して
図3(e)に示すような構造の半導体装置を得る。
【0006】
【発明が解決しようとする課題】しかしながら、上述し
た従来の半導体装置の製造方法には、以下のような問題
がある。
【0007】酸化シリコン102を弗酸で選択的に除去
する場合(図3(d)参照)、実際には酸化シリコン1
02堆積時の膜厚変動や、弗酸のエッチングレート変動
を考慮してエッチング時間が長めに設定される。この弗
酸による酸化シリコン102のエッチングの際、酸化シ
リコン106もともにエッチングされることから、エッ
チング時間が長めに設定されると、実際は図4に示すよ
うに素子分離領域端部(丸の枠線にて示した部分)に段
差が生じることとなる。このように素子分離領域端部に
段差が生じたものに、ゲート絶縁膜107とゲート電極
となる多結晶シリコン108を形成すると図5に示すよ
うな断面構造となり、その段差部においてはゲート電極
によってシリコン基板垂直方向電界109と、水平方向
電界110が加わり、この部分での閾値を低下させてし
まう。この部分的な閾値の低下は、図7に示すように、
標準トランジスタに閾値の低いトランジスタが重畳した
特性を引き起こし、ゲート電圧が0Vの時のドレイン電
流(以下、オフ電流と称する)を増大させ、半導体集積
回路装置の待機時電流の増大を招いてしまう。
【0008】本発明の目的は、係るオフ電流の増大とい
う問題を解決し、素子分離領域端に段差が生じることの
ない半導体装置の製造方法を提供することにある。
【0009】
【課題を解決するための手段】上記目的を達成するた
め、本発明の半導体装置の製造方法は、シリコン基板上
酸化シリコン膜を形成する工程と、前記酸化シリコン
膜上に第1のマスクパターン部を形成する工程と、前記
第1のマスクパターン部をマスクとして前記酸化シリコ
ン膜をテーパ状にエッチングして第2のマスクパターン
部を形成する工程と、前記第1のマスクパターン部と
記第2のマスクパターン部をマスクとして前記シリコン
基板を異方性エッチングして溝を形成する工程と、全面
に絶縁層を前記溝の深さよりも厚く堆積する工程と、前
記第1のマスクパターン部が露出し、かつ、該第1のマ
スクパターン部と前記絶縁層との表面が同一面となるよ
うに研磨する工程と、前記第1のマスクパターン部、該
第1のマスクパターン部の下にある前記第2のマスクパ
ターン部を順次除去して素子分離領域を形成する工程
と、を有することを特徴とする。
【0010】上記の場合、前記第2マスクパターン部を
形成する工程が、側壁への炭素含有皮膜堆積の多くなる
条件で前記酸化シリコン膜を異方性エッチングすること
により行われるようにしてもよい。
【0011】また、前記第1のマスクパターン部が窒化
シリコン膜で構成されていることとしてもよい。
【0012】さらに、前記第1のマスクパターン部と前
記絶縁層との表面が同一面となるように研磨する工程
が、前記シリコン基板表面から前記絶縁層の表面迄の高
さが50nm以内となるように研磨することにより行わ
れるようにしてもよい。
【0013】
【0014】
【0015】<作用> 上記のように構成される本発明によれば、第1のマスク
パターン部および第2のマスクパターン部(テーパ部)
をマスクとして溝が形成され、第のマスクパターン部
が除去された後に絶縁層が堆積されるので、研磨後の絶
縁層の側壁は溝側壁よりも素子領域に張り出すこととな
る。また、絶縁層はその表面が第1のマスクパターン部
の表面と同一面となるように研磨されるので、第1のマ
スクパターン部が除去された状態において、絶縁層の表
面は酸化膜の表面より高い状態となる。このように、本
発明では絶縁層の側壁が素子領域に張り出し、その表面
が酸化膜の表面より高い状態とされるので、酸化膜をエ
ッチングして素子分離領域を形成する際に、前述した図
4に示すような素子領域端部(丸の枠線にて示した部
分)の段差はできない。
【0016】また、本発明においては、第1のマスクパ
ターン部と第2のマスクパターン部(テーパ部)のマス
ク幅の差が絶縁層の側壁の素子領域への張り出し量を決
定することとなる。すなわち、第2のマスクパターン部
(テーパ部)の幅が絶縁層の側壁の素子領域への張り出
し量となる。本発明では、そのような張り出しが形成さ
れるので、酸化シリコン膜をエッチングして素子分離領
域を形成する際に、そのエッチングにより絶縁層の側壁
が溝側壁より溝側へ後退することはない。
【0017】
【発明の実施の形態】次に、本発明の実施例について図
面を参照して説明する。
【0018】<参考例> 図1は、本発明の半導体装置の製造方法の一参考例を示
図で、(a)〜(k)は断面工程図である。
【0019】まず、図1(a)に示すように、単結晶シ
リコン基板1上に、例えば厚さ10nmの酸化シリコン
2、厚さ100nmの窒化シリコン3を順次堆積した
後、所定のパターンのフォトレジスト4をマスクとして
窒化シリコン3を異方性エッチングし、素子領域上に所
定のパターンの窒化シリコン3(第1のマスクパターン
部)を形成する。このとき酸化シリコン2の一部がエッ
チングされてもよいが、完全に除去されないことが重要
である。なぜならば、酸化シリコン2が完全に除去され
てしまうと、シリコン基板1が露出し、異方性エッチン
グでのダメージがシリコン基板1に残ってしまうからで
ある。
【0020】窒化シリコン3が形成されると、続いて、
フォトレジスト4を除去し、図1(b)に示すように、
全面に酸化シリコン11を段差被覆性の良い方法、例え
ば減圧化学気相成長法等で堆積する。その後、図1
(c)に示すように、酸化シリコン膜11を異方性エッ
チングして窒化シリコン3の側部に酸化シリコンの側壁
12(第2のマスクパターン部)を形成する。酸化シリ
コン11の厚さは、酸化シリコン2の厚さ以上とするこ
とが望ましく、ここでは15nmの厚さに堆積する。同
様に、酸化シリコンの側壁12の幅も酸化シリコン2の
厚さ以上とすることが望ましく、ここでは約15nmと
なっている。
【0021】次いで、図1(d)示すように、酸化シリ
コン3および酸化シリコンの側壁12をマスクとして、
酸化シリコン2およびシリコン基板1を異方性エッチン
グし、例えば深さ20nmの溝5を形成する。その後、
図1(e)に示すように、酸化シリコンの側壁12を弗
酸で除去する。このとき、酸化シリコン2の一部が除去
されるが、窒化シリコンがリフトオフされなければ問題
ない。なお、この酸化シリコンの側壁12を除去する工
程は、省略してもかまわない。
【0022】次いで、図1(f)に示すように、全面に
溝5の深さ以上の厚さの酸化シリコン6を段差被覆性の
良い方法、例えば、減圧化学気相成長法等で堆積する。
ここでは、酸化シリコン6を厚さ20nmに堆積する。
【0023】酸化シリコン6が堆積されると、続いて、
図1(g)に示すように、窒化シリコン3が露出し、か
つ表面が平になるまで窒化シリコン3および酸化シリコ
ン6を所定量研磨する。ここでは、酸化シリコン6の表
面は素子領域表面13からの高さが約110nmとなる
ようにしてある。この工程から分かるように、窒化シリ
コン3はマスクとして用いられる他に、研磨の際のスト
ップ部材として用いられる。
【0024】窒化シリコン3および酸化シリコン6が研
磨されると、続いて、図1(h)に示すように、弗酸で
酸化シリコン6を所定量エッチングする。酸化シリコン
6の表面は酸化シリコン2の表面より高くなければなら
ないが、半導体の電気特性上、その高さは酸化シリコン
2の表面位置に近いほどよいことから、この工程によっ
て、研磨後の酸化シリコン6の表面が酸化シリコン2の
表面位置にある程度近付けられる。ここでは、素子領域
表面13から酸化シリコン6の表面までの高さが30n
mになるまでエッチングされる。なお、素子領域表面1
3から酸化シリコン6の表面までの高さが上記図1
(g)に示す工程により30nm程度にまで研磨される
のであれば、このエッチング工程は省略することができ
る。
【0025】次いで、図1(i)に示すように、窒化シ
リコン3を150℃程度の燐酸で選択的に除去する。こ
れにより、酸化シリコン2表面が露出する。この露出し
た酸化シリコン2表面から酸化シリコン6の表面までの
高さは20nmとなっている。
【0026】窒化シリコン3が除去されて酸化シリコン
2表面が露出すると、続いて、酸化シリコン2を通して
MOSFETのチャネル部の不純物プロファイルを調整
する不純物イオンを注入する。ここでは、例えばボロン
を100kでドース量を4×1012cm-2と、ボロンを
40kでドース量を6×1012cm-2程度注入するが、
この値はMOSFETのチャネルの設計によって異な
り、これらの値に限定されるものではない。
【0027】次いで、図1(j)に示すように、酸化シ
リコン2を弗酸で選択的に除去して素子分離領域を形成
する。このときのエッチング量は酸化シリコン2堆積時
に膜厚が厚めにずれる場合を考慮して、望ましくは11
nmとする。この工程では、酸化シリコン6の側壁も横
方向にエッチングされ、側壁が11nm分溝側に後退す
るが、溝側壁までは4nmの距離が残り、素子分離領域
端には段差は生じない。
【0028】素子分離領域が形成されると、続いて、図
1(k)に示すようにゲート絶縁膜7を形成し、ゲート
電極となる多結晶シリコン8を堆積し、その後、周知の
方法によってMOSFETを製造する。
【0029】図6に本参考例により形成されたNMOS
FETのサブスレッショルド特性を示す。図6から分か
るように、このNMOSFETではオフ電流が図7に示
したものより2桁ほど小さくなっている。
【0030】なお、本参考例では、マスクとして窒化シ
リコン3が用いられているが、これに限定されるもので
はなく、エッチングにおいて酸化シリコンとの選択比が
あり、かつ、研磨に絶えられるものであればよく、例え
ばダイヤモンド薄膜であってもよい。また、酸化シリコ
ンの側壁12も酸化シリコンに限定されるものではな
く、エッチングにおいて窒化シリコン3との選択比があ
ればよく、例えばポリシリコンであってもよい。
【0031】また、図1(i)に示す酸化シリコン6の
素子領域への張り出し量は、酸化シリコン2のエッチン
グに際にその張り出し部分が溝側へ後退して段差が生じ
ないようにする必要がある。この張り出し量は酸化シリ
コン11の厚さによって決まることから、本参考例
は、酸化シリコン11の厚さは薄くとも酸化シリコン2
の厚さとすることが望ましい。
【0032】さらに、半導体装置の電気特性を考慮する
と、本参考例では酸化シリコン6(絶縁層)の研磨後の
表面の高さは、シリコン基板1表面から50nm以内と
することが望ましい。
【0033】<実施例> 上述した参考例の半導体装置の製造方法では、窒化シリ
コン3と酸化シリコンの側壁12とによりマスクを構成
し、窒化シリコン3の側壁と溝側壁の間に間隔を設けて
いるが、この間隔は次のような方法によっても形成可能
である。以下、本発明の実施例について具体的に説明す
る。
【0034】例えば、図1(a)と同様にして窒化シリ
コン3を所定のパターンとした後、酸化シリコン2を側
壁への炭素含有皮膜堆積の多くなる条件で異方性エッチ
ングすると、酸化シリコン2の端部は図2(a)に示す
ようなテーパー状にエッチングされる。このテーパー状
に形成された酸化シリコン2の端部を第2のマスクパタ
ーンとし、窒化シリコン3および酸化シリコン2のテー
パー部をマスクとしてシリコン基板1を異方性エッチン
すれば、図2(b)に示すように、窒化シリコン3の
マスク側壁と溝5の側壁の間に間隔ができる。
【0035】上述のようにして窒化シリコン3の側壁と
溝5の側壁の間に間隔が形成されると、本実施例におい
ても上述の参考例の場合と同様に、全面に絶縁層を溝の
深さよりも厚く堆積し、その後に窒化シリコン3が露出
し、かつ、該窒化シリコン3と絶縁層との表面が同一面
となるように、これらを研磨し、窒化シリコン3を除去
する工程が行われる。そして、酸化シリコン2を通して
MOSFETのチャネル部の不純物プロファイルを調整
する不純物イオンの注入が行われ、その後、酸化シリコ
ン2を弗酸で選択的に除去して素子分離領域が形成され
る。
【0036】本実施例においても、半導体装置の電気特
性を考慮すると、絶縁層(酸化シリコン6)の研磨後の
表面の高さは、シリコン基板1表面から50nm以内と
することが望ましい。
【0037】なお、上述した各実施例では、NMOSF
ETを例に挙げて説明したが、本発明はこれに限定され
るものではなく、例えばPMOSFETやCMOSFE
T、バイポーラトランジスタ、BiCMOSトランジス
タなど、他の半導体装置にも適用可能である。
【0038】
【発明の効果】以上説明したように、本発明の半導体そ
うちの製造方法によれば、素子分離領域端での段差の発
生を防止でき、半導体装置の電気特性を大幅に向上する
ことができるという効果がある。
【図面の簡単な説明】
【図1】本発明の半導体装置の製造方法の一参考例を示
図で、(a)〜(k)は断面工程図である。
【図2】本発明の一実施例の半導体装置の製造方法を説
明するための図で、(a)および(b)は溝形成の際の
断面工程図である。
【図3】従来の半導体装置の製造方法を説明するための
図で、(a)〜(e)は各工程の断面工程図である。
【図4】従来の半導体装置の製造方法にて形成された素
子分離領域の端部の状態を示す略断面図である。
【図5】従来の半導体装置の製造方法にて形成された素
子分離領域の端部の段差部において加わる電界の状態を
示す図である。
【図6】本発明の参考例の半導体装置の製造方法にて製
造された半導体装置のサブスレッショルド特性を示すグ
ラフである。
【図7】従来の半導体装置の製造方法にて製造された半
導体装置のサブスレッショルド特性を示すグラフであ
る。
【符号の説明】
1 シリコン基板(単結晶シリコン基板) 2,6,11 酸化シリコン 3 窒化シリコン 4 フォトレジスト 5 溝 7 ゲート絶縁膜 8 多結晶シリコン 12 酸化シリコンの側壁 13 素子領域表面

Claims (4)

    (57)【特許請求の範囲】
  1. 【請求項1】 シリコン基板上に酸化シリコン膜を形成
    する工程と、前記酸化シリコン膜上 に第1のマスクパターン部を形成
    する工程と、 前記第1のマスクパターン部をマスクとして前記酸化シ
    リコン膜をテーパ状にエッチングして第2のマスクパタ
    ーン部を形成する工程と、 前記第1のマスクパターン部と前記第2のマスクパター
    ン部をマスクとして前記シリコン基板を異方性エッチン
    グして溝を形成する工程と、 全面に絶縁層を前記溝の深さよりも厚く堆積する工程
    と、 前記第1のマスクパターン部が露出し、かつ、該第1の
    マスクパターン部と前記絶縁層との表面が同一面となる
    ように研磨する工程と、 前記第1のマスクパターン部、該第1のマスクパターン
    部の下にある前記第2のマスクパターン部を順次除去し
    て素子分離領域を形成する工程と、 を有することを特徴とする半導体装置の製造方法。
  2. 【請求項2】 請求項に記載の半導体装置の製造方法
    において、 前記第2マスクパターン部を形成する工程が、側壁への
    炭素含有皮膜堆積の多くなる条件で前記酸化シリコン膜
    を異方性エッチングする工程であることを特徴とする半
    導体装置の製造方法。
  3. 【請求項3】 請求項1に記載の半導体装置の製造方法
    において、前記第1のマスクパターン部が窒化シリコン膜で構成さ
    れている ことを特徴とする半導体装置の製造方法。
  4. 【請求項4】 請求項に記載の半導体装置の製造方法
    において、前記第1のマスクパターン部と前記絶縁層との表面が同
    一面となるように研磨する工程が、前記シリコン基板表
    面から前記絶縁層の表面迄の高さが50nm以内となる
    ように研磨する工程である ことを特徴とする半導体装置
    の製造方法。
JP7336922A 1995-12-25 1995-12-25 半導体装置の製造方法 Expired - Fee Related JP2762976B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP7336922A JP2762976B2 (ja) 1995-12-25 1995-12-25 半導体装置の製造方法
DE69621412T DE69621412T2 (de) 1995-12-25 1996-12-23 Verfahren zur Herstellung einer Halbleitereinrichtung mit einem aus einer Grube herausragenden Isolationsoxid
EP96120807A EP0782185B1 (en) 1995-12-25 1996-12-23 Process of fabricating semiconductor device having isolating oxide rising out of groove
US08/772,518 US5677233A (en) 1995-12-25 1996-12-24 Process of fabricating semiconductor device having isolating oxide rising out of groove
KR1019960071475A KR100237275B1 (ko) 1995-12-25 1996-12-24 홈으로부터 돌출하는 분리 산화물을 갖는 반도체 장치의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7336922A JP2762976B2 (ja) 1995-12-25 1995-12-25 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH09181158A JPH09181158A (ja) 1997-07-11
JP2762976B2 true JP2762976B2 (ja) 1998-06-11

Family

ID=18303877

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7336922A Expired - Fee Related JP2762976B2 (ja) 1995-12-25 1995-12-25 半導体装置の製造方法

Country Status (5)

Country Link
US (1) US5677233A (ja)
EP (1) EP0782185B1 (ja)
JP (1) JP2762976B2 (ja)
KR (1) KR100237275B1 (ja)
DE (1) DE69621412T2 (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100213196B1 (ko) * 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
KR100216267B1 (ko) * 1996-12-26 1999-08-16 구본준 트렌치 격리구조를 갖는 반도체 장치 제조방법
US6322634B1 (en) * 1997-01-27 2001-11-27 Micron Technology, Inc. Shallow trench isolation structure without corner exposure
JPH1131742A (ja) * 1997-07-14 1999-02-02 Mitsubishi Electric Corp 半導体装置の製造方法
TW342529B (en) * 1997-09-10 1998-10-11 United Microelectronics Corp Process for producing shallow trench isolation (STI) structure
US6309947B1 (en) * 1997-10-06 2001-10-30 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with improved isolation region to active region topography
US7235856B1 (en) * 1997-12-18 2007-06-26 Micron Technology, Inc. Trench isolation for semiconductor devices
KR19990065100A (ko) * 1998-01-07 1999-08-05 윤종용 반도체 장치의 소자 분리막 형성방법
TW389982B (en) * 1998-01-26 2000-05-11 United Microelectronics Corp Method of manufacturing shallow trench isolation
US6069057A (en) * 1998-05-18 2000-05-30 Powerchip Semiconductor Corp. Method for fabricating trench-isolation structure
US6274498B1 (en) 1998-09-03 2001-08-14 Micron Technology, Inc. Methods of forming materials within openings, and method of forming isolation regions
US6372601B1 (en) * 1998-09-03 2002-04-16 Micron Technology, Inc. Isolation region forming methods
KR100475049B1 (ko) * 1998-09-24 2005-06-17 삼성전자주식회사 박막의질화물라이너를갖는트렌치소자분리방법
KR100292616B1 (ko) 1998-10-09 2001-07-12 윤종용 트렌치격리의제조방법
US6232203B1 (en) 1999-07-23 2001-05-15 Taiwan Semiconductor Manufacturing Company Process for making improved shallow trench isolation by employing nitride spacers in the formation of the trenches
KR100338767B1 (ko) 1999-10-12 2002-05-30 윤종용 트렌치 소자분리 구조와 이를 갖는 반도체 소자 및 트렌치 소자분리 방법
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
KR20030001965A (ko) * 2001-06-28 2003-01-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6723616B2 (en) * 2001-09-27 2004-04-20 Texas Instruments Incorporated Process of increasing screen dielectric thickness
US6613648B1 (en) * 2002-07-15 2003-09-02 Chartered Semiconductor Manufacturing Limited Shallow trench isolation using TEOS cap and polysilicon pullback
KR100981554B1 (ko) * 2003-11-13 2010-09-10 한국과학기술원 다중 송수신 안테나들을 구비하는 이동통신시스템에서,송신 안테나들을 그룹핑하여 신호를 전송하는 방법
JP2005191331A (ja) * 2003-12-26 2005-07-14 Nec Electronics Corp 半導体装置の製造方法
JP4476196B2 (ja) 2005-08-23 2010-06-09 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP2009117855A (ja) * 2008-12-22 2009-05-28 Fujitsu Microelectronics Ltd 半導体装置の製造方法
US20110014726A1 (en) 2009-07-20 2011-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming shallow trench isolation structure

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4534824A (en) * 1984-04-16 1985-08-13 Advanced Micro Devices, Inc. Process for forming isolation slots having immunity to surface inversion
US4561172A (en) * 1984-06-15 1985-12-31 Texas Instruments Incorporated Integrated circuit fabrication method utilizing selective etching and oxidation to form isolation regions
JPH02271620A (ja) * 1989-04-13 1990-11-06 Seiko Epson Corp 半導体装置の製造方法
JPH0456279A (ja) * 1990-06-25 1992-02-24 Matsushita Electron Corp 半導体装置の製造方法
US5118382A (en) * 1990-08-10 1992-06-02 Ibm Corporation Elimination of etch stop undercut
US5177028A (en) * 1991-10-22 1993-01-05 Micron Technology, Inc. Trench isolation method having a double polysilicon gate formed on mesas
JPH0637178A (ja) * 1992-07-17 1994-02-10 Toshiba Corp 半導体装置の製造方法
JP3311044B2 (ja) * 1992-10-27 2002-08-05 株式会社東芝 半導体装置の製造方法
JPH0729971A (ja) * 1993-06-25 1995-01-31 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5518950A (en) * 1994-09-02 1996-05-21 Advanced Micro Devices, Inc. Spin-on-glass filled trench isolation method for semiconductor circuits
US5521422A (en) * 1994-12-02 1996-05-28 International Business Machines Corporation Corner protected shallow trench isolation device

Also Published As

Publication number Publication date
JPH09181158A (ja) 1997-07-11
US5677233A (en) 1997-10-14
DE69621412D1 (de) 2002-07-04
EP0782185B1 (en) 2002-05-29
DE69621412T2 (de) 2003-02-13
KR100237275B1 (ko) 2000-01-15
EP0782185A3 (en) 1997-08-20
EP0782185A2 (en) 1997-07-02

Similar Documents

Publication Publication Date Title
JP2762976B2 (ja) 半導体装置の製造方法
US5502009A (en) Method for fabricating gate oxide layers of different thicknesses
KR100445923B1 (ko) 장치의 분리 영역 형성 이후에 규소의 선택적 에피택셜증착을 사용하는, 변형 규소 씨엠오에스 구조물의 제조 방법
KR100400325B1 (ko) 수직형 트랜지스터 및 그 제조 방법
US6306723B1 (en) Method to form shallow trench isolations without a chemical mechanical polish
US6696334B1 (en) Method for formation of a differential offset spacer
US6927135B2 (en) Methods of fabricating multiple sets of field effect transistors
US6303452B1 (en) Method for making transistor spacer etch pinpoint structure
US6500744B2 (en) Methods of forming DRAM assemblies, transistor devices, and openings in substrates
US20020090787A1 (en) Self-aligned elevated transistor
US6313011B1 (en) Method for suppressing narrow width effects in CMOS technology
US5604138A (en) Process for making a semiconductor MOS transistor
KR20010093055A (ko) 반도체장치의 제조방법 및 반도체장치
EP0429404A2 (en) A process for forming a field isolation structure and gate structure in integrated MISFET devices
JP2003289142A (ja) 半導体素子の製造方法
JP3053009B2 (ja) 半導体装置の製造方法
US6800514B2 (en) Method of fabricating a MOS transistor with a drain extension and corresponding transistor
KR100485004B1 (ko) 에스오아이 반도체 소자 및 그 제조 방법
KR100356793B1 (ko) 비씨-에스오아이 소자의 제조방법
KR100724473B1 (ko) 실리콘 산화막으로 격리된 소오스/드레인 형성방법
KR100223825B1 (ko) 반도체 소자의 격리영역 형성방법
JP2002100670A (ja) 半導体装置及びその製造方法
JP2000188325A (ja) 半導体装置の製造方法
JPH07283300A (ja) 半導体装置及びその製造方法
KR100406737B1 (ko) 반도체 소자 및 그 제조 방법

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees