JP2022521631A - 三次元メモリデバイス及びその形成方法 - Google Patents

三次元メモリデバイス及びその形成方法 Download PDF

Info

Publication number
JP2022521631A
JP2022521631A JP2021549994A JP2021549994A JP2022521631A JP 2022521631 A JP2022521631 A JP 2022521631A JP 2021549994 A JP2021549994 A JP 2021549994A JP 2021549994 A JP2021549994 A JP 2021549994A JP 2022521631 A JP2022521631 A JP 2022521631A
Authority
JP
Japan
Prior art keywords
layer
array
semiconductor structure
memory
memory device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021549994A
Other languages
English (en)
Other versions
JP7328344B2 (ja
Inventor
リウ・ジュン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Publication of JP2022521631A publication Critical patent/JP2022521631A/ja
Application granted granted Critical
Publication of JP7328344B2 publication Critical patent/JP7328344B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C14/00Digital stores characterised by arrangements of cells having volatile and non-volatile storage properties for back-up when the power is down
    • G11C14/0009Digital stores characterised by arrangements of cells having volatile and non-volatile storage properties for back-up when the power is down in which the volatile element is a DRAM cell
    • G11C14/0018Digital stores characterised by arrangements of cells having volatile and non-volatile storage properties for back-up when the power is down in which the volatile element is a DRAM cell whereby the nonvolatile element is an EEPROM element, e.g. a floating gate or metal-nitride-oxide-silicon [MNOS] transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/005Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor comprising combined but independently operative RAM-ROM, RAM-PROM, RAM-EPROM cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5621Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using charge storage in a floating gate
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5678Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using amorphous/crystalline phase transition storage elements
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0004Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising amorphous/crystalline phase transition cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73215Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8036Bonding interfaces of the semiconductor or solid state body
    • H01L2224/80379Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06565Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having the same size and there being no auxiliary carrier between the devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Holo Graphy (AREA)

Abstract

Figure 2022521631000001
埋め込みダイナミックランダムアクセスメモリ(DRAM)を有する3次元(3D)メモリデバイス及び3Dメモリデバイスを形成するための方法が開示される。3Dメモリデバイス(300)は、周辺回路(312)と、埋め込みDRAMセル(314)のアレイと、複数の第1の接合コンタクト(326)を含む第1の接合層(324)とを含む第1の半導体構造(302)を含む。3Dメモリデバイスはまた、3D NANDメモリストリング(338)のアレイと、複数の第2の接合コンタクト(330)を含む第2の接合層(328)とを含む第2の半導体構造(304)をさらに含む。3Dメモリデバイス(300)は、第1の接合層(324)と第2の接合層(328)との間の接合界面(306)をさらに含む。第1の接合コンタクト(324)は、接合界面(306)で第2の接合コンタクト(328)と接触している。

Description

本開示の実施形態は、三次元(3D)メモリデバイス及びその製造方法に関する。
平面メモリセルは、プロセス技術、回路設計、プログラミングアルゴリズム、および製造プロセスを改善することによって、より小さいサイズに寸法調整される。しかしながら、メモリセルの特徴サイズが下限に近づくにつれて、平面プロセス及び製造技術は困難になり、費用がかかるようになる。その結果、平面メモリセルのメモリ密度は上限に近づく。
3Dメモリアーキテクチャは、平面メモリセルにおける密度制限に対処することができる。3Dメモリアーキテクチャは、メモリアレイと、メモリアレイとの間の信号を制御するための周辺デバイスとを含む。
埋め込みダイナミックランダムアクセスメモリ(DRAM)を有する3Dメモリデバイスの実施形態及びその製造方法が本明細書に開示される。
一例では、3Dメモリデバイスは、周辺回路と、埋め込みDRAMセルのアレイと、複数の第1の接合コンタクトを含む第1の接合層とを含む第1の半導体構造を含む。3Dメモリデバイスはまた、3D NANDメモリストリングのアレイと、複数の第2の接合コンタクトを含む第2の接合層とを含む第2の半導体構造をさらに含む。3Dメモリデバイスは、第1の接合層と第2の接合層との間に接合界面をさらに含む。第1の接合コンタクトは、接合界面において第2の接合コンタクトと接触している。
別の例では、3Dメモリデバイスを形成するための方法が開示される。第1の半導体構造が形成される。第1の半導体構造は、周辺回路と、埋め込みDRAMセルのアレイと、複数の第1の接合コンタクトを含む第1の接合層とを含む。第2の半導体構造が形成される。第2の半導体構造は、3D NANDメモリストリングのアレイと、複数の第2の接合コンタクトを含む第2の接合層とを含む。第1の半導体構造及び第2の半導体構造は、第1の接合コンタクトが接合界面で第2の接合コンタクトと接触するように、対面方式で接合される。
さらに別の例では、3Dメモリデバイスを動作させるための方法が開示される。3Dメモリデバイスは、同じチップ内に入力/出力回路と、埋め込みDRAMセルのアレイと、3D NANDメモリストリングのアレイとを含む。データは、入力/出力回路を介して埋め込みDRAMセルのアレイに転送される。データは、埋め込みDRAMセルのアレイにバッファされる。データは、埋め込みDRAMセルのアレイから3D NANDメモリストリングのアレイに格納される。
本明細書に組み込まれ、本明細書の一部を形成する添付の図面は、本開示の実施形態を示し、説明と共に、本開示の原理を説明し、当業者が本開示を作成及び使用することを可能にするのにさらに役立つ。
いくつかの実施形態による、埋め込みDRAMを有する例示的な3Dメモリデバイスの断面の概略図を示す。 いくつかの実施形態による、埋め込みDRAMを有する別の例示的な3Dメモリデバイスの断面の概略図を示す。 いくつかの実施形態による、周辺回路及び埋め込みDRAMを有する例示的な半導体構造の概略平面図を示す。 いくつかの実施形態による、埋め込みDRAMを有する例示的な3Dメモリデバイスの断面図を示す。 いくつかの実施形態による、埋め込みDRAMを有する別の例示的な3Dメモリデバイスの断面図を示す。 いくつかの実施形態による、周辺回路及び埋め込みDRAMを有する例示的な半導体構造を形成するための製造プロセスを示す。 いくつかの実施形態による、周辺回路及び埋め込みDRAMを有する例示的な半導体構造を形成するための製造プロセスを示す。 いくつかの実施形態による、周辺回路及び埋め込みDRAMを有する例示的な半導体構造を形成するための製造プロセスを示す。 いくつかの実施形態による、3D NANDメモリストリングを有する例示的な半導体構造を形成するための製造プロセスを示す。 いくつかの実施形態による、3D NANDメモリストリングを有する例示的な半導体構造を形成するための製造プロセスを示す。 いくつかの実施形態による、埋め込みDRAMを有する例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 いくつかの実施形態による、埋め込みDRAMを有する例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 いくつかの実施形態による、埋め込みDRAMを有する3Dメモリデバイスの例示的な動作を示す。 いくつかの実施形態による、埋め込みDRAMを有する3Dメモリデバイスを形成するための例示的な方法のフローチャートである。 いくつかの実施形態による、埋め込みDRAMを有する3Dメモリデバイスを動作させるための例示的な方法のフローチャートである。
本開示の実施形態について、添付の図面を参照しながら説明する。
特定の構成及び配置について説明するが、これは例示のみを目的として行われることを理解すべきである。当業者は、本開示の精神及び範囲から逸脱することなく、他の構成及び配置を使用できることを認識するであろう。本開示が様々な他の用途にも使用できることは、当業者には明らかであろう。
本明細書における「一実施形態(one embodiment)」、「実施形態(an embodiment)」、「例示的な実施形態(an example embodiment)」、「いくつかの実施形態(some embodiments)」などへの言及は、記載された実施形態が特定の特徴、構造、又は特性を含み得ることを示すが、すべての実施形態が必ずしも特定の特徴、構造、又は特性を含む必要はない可能性があることに留意されたい。さらに、そのような語句は、必ずしも同じ実施形態を指すとは限らない。さらに、特定の特徴、構造、又は特性が実施形態に関連して記載されている場合、明示的に記載されているか否かにかかわらず、他の実施形態に関連してそのような特徴、構造、又は特性を達成することは、当業者の知識の範囲内である。
一般に、用語は、文脈における使用から少なくとも部分的に理解され得る。例えば、本明細書で使用される「1つ又は複数」という用語は、文脈に少なくとも部分的に依存して、任意の特徴、構造、又は特性を単数の意味で説明するために使用されてもよく、又は特徴、構造、又は特性の組み合わせを複数の意味で説明するために使用されてもよい。同様に、「1つの(a)」、「1つの(an)」、又は「その(the)」などの用語も、文脈に少なくとも部分的に依存して、単数形の用法を伝えるか、又は複数形の用法を伝えると理解されてもよい。さらに、「に基づく」という用語は、必ずしも排他的な要因のセットを伝達することを意図していないと理解されてもよく、代わりに、文脈に少なくとも部分的に依存して、必ずしも明示的に説明されていない追加の要因の存在を可能にしてもよい。
本開示における「上に(on)」、「より上に(above)」、及び「上方に(over)」の意味は、「上に(on)」が何かの「直接上に(directly on)」を意味するだけでなく、中間の特徴を有する何か又はその間の層の「上に(on)」の意味も含み、「より上に(above)」又は「上方に(over)」は何か「より上に(above)」又は「の上方に(over)」の意味を意味するだけでなく、その間に中間の特徴を有さない何か又はその間の層「より上に(above)」又は「の上方に(over)」(すなわち、何かの上に直接)であるという意味も含むことができるように、最も広く解釈されるべきであることは容易に理解されるべきである。
さらに、「真下(beneath)」、「より下に(below)」、「下方(lower)」、「より上に(above)」、「上方(upper)」などの空間的に相対的な用語は、本明細書では、図に示すように、1つの要素又は特徴と別の要素又は特徴との関係を説明するための説明を容易にするために使用され得る。空間的に相対的な用語は、図に示す向きに加えて、使用中又は動作中のデバイスの異なる向きを包含することを意図している。装置は、他の方向に向けられてもよく(90度又は他の向きに回転されてもよく)、本明細書で使用される空間的に相対的な記述子は、それに応じて同様に解釈されてもよい。
本明細書で使用される場合、「基板」という用語は、後続の材料層がその上に追加される材料を指す。基板自体をパターニングすることができる。基板の上に加えられる材料は、パターニングされてもよく、又はパターニングされないままであってもよい。さらに、基板は、シリコン、ゲルマニウム、ヒ化ガリウム、リン化インジウムなどの広範囲の半導体材料を含むことができる。代替で、基板は、ガラス、プラスチック、又はサファイアウェハなどの非導電性材料から作製することができる。
本明細書で使用される場合、「層」という用語は、厚さを有する領域を含む材料部分を指す。層は、下にあるもしくは上にある構造体の全体にわたって延在することができ、又は下にあるもしくは上にある構造体の範囲よりも小さい範囲を有することができる。さらに、層は、連続構造の厚さよりも厚さが薄い均一又は不均一な連続構造体の領域であり得る。例えば、層は、連続構造体の上面と底面との間、又は上面と底面との間の任意の対の水平面の間に位置することができる。層は、横方向、垂直方向、及び/又はテーパ面に沿って延在することができる。基板は、層とすることができ、その中に1つ若しくは複数の層を含むことができ、並びに/又はその上、それより上、及び/若しくはその下に1つ若しくは複数の層を有することができる。層は複数の層を含むことができる。例えば、相互接続層は、1つ又は複数の導体及び接触層(相互接続線及び/又はビアコンタクトが形成される)並びに1つ又は複数の誘電体層を含むことができる。
本明細書で使用される場合、「名目/名目上」という用語は、製品又はプロセスの設計段階中に設定される、構成要素又はプロセス動作の特性又はパラメータの所望の又は目標の値を、所望の値より上及び/又は下の値の範囲と共に指す。値の範囲は、製造プロセスにおけるわずかな変動又は公差に起因し得る。本明細書で使用される場合、「約」という用語は、対象の半導体デバイスに関連する特定の技術ノードに基づいて変化し得る所与の量の値を示す。特定の技術ノードに基づいて、用語「約」は、例えば、値の10~30%(例えば、値の±10%、±20%、又は±30%)の範囲内で変化する所与の量の値を示すことができる。
本明細書で使用される場合、「3Dメモリデバイス」という用語は、メモリストリングが基板に対して垂直方向に延在するように、メモリセルトランジスタの垂直に配向されたストリング(NANDメモリストリングなどの「メモリストリング」と本明細書では呼ばれる)を横方向に配向された基板上に有する半導体デバイスを指す。本明細書で使用される場合、「垂直/垂直に」という用語は、基板の側面に対して名目上垂直であることを意味する。
従来の3Dメモリデバイスでは、同じ平面上のメモリアレイデバイスの外側に形成された周辺回路は、デバイスチップの大面積を占める可能性があり、それによってアレイ効率が悪く、ダイサイズが大きく、コストが高くなる。また、メモリアレイデバイスの処理に関連する熱履歴は、周辺回路の性能要件を制限し、3Dメモリデバイスの高い入力/出力(I/O)速度を達成することを困難にする。さらに、3D NANDメモリデバイスの場合、NANDメモリセルプログラム、消去、及び読み出し速度は、DRAM及びスタティックRAM(SRAM)などの他のタイプのメモリデバイスと比較して本質的に遅い。
本開示による様々な実施形態は、I/O速度、スループット、及びメモリ密度が改善されたオンチップ埋め込みDRAMを有する3Dメモリデバイスを提供する。いくつかの実施形態では、3D NANDメモリの周辺回路(例えば、制御及び感知回路)は、高速アドバンストロジックデバイス処理を使用して別個の基板上に形成される。埋め込みDRAMセルを周辺回路と同じ基板上に形成して、空のウェハ空間を利用し、3D NANDメモリの高速メモリバッファとして機能することができる。3D NANDメモリは、別の基板上に形成され、周辺回路及び埋め込みDRAMセルが形成される基板に接合され得る。その結果、メモリアレイ効率が向上し、ダイサイズ及びビットコストが低減され、それによってメモリデバイス性能が大幅に向上する。3DメモリデバイスのI/O速度及びスループットは、DRAM及び周辺ロジックデバイスの速度及びスループットに一致することができる。高速な揮発性メモリ(例えば、DRAM)と高密度/大容量の不揮発性メモリ(例えば、3D NANDメモリ)をワンチップ化することで、高速な不揮発性データ記憶を実現できる。例えば、本明細書で開示される埋め込みDRAMを有する3Dメモリデバイスは、その高速不揮発性データ記憶能力のために、モバイルデバイス又はコンピュータ上でインスタントオン機能を可能にすることができる。
図1Aは、いくつかの実施形態による、埋め込みDRAMを有する例示的な3Dメモリデバイス100の断面の概略図を示す。3Dメモリデバイス100は、非モノリシック3Dメモリデバイスの一例を表す。用語「非モノリシック」は、3Dメモリデバイス100の構成要素(例えば、周辺回路/埋め込みDRAM及び3D NANDメモリ)を異なる基板上に別々に形成し、次いで接合して3Dメモリデバイスを形成することができることを意味する。3Dメモリデバイス100は、周辺回路及び埋め込みDRAMセルのアレイを含む第1の半導体構造102を含むことができる。周辺回路及び埋め込みDRAMセルアレイの両方は、高速を達成するために高度な論理プロセス(例えば、90nm、80nm、65nm、55nm、45nm、40nm、32nm、28nm、22nm、20nm、16nm、14nm、10nm、7nm、5nm、3nmなどの技術ノード)で実装することができる。いくつかの実施形態では、第1の半導体構造内の周辺回路及び埋め込みDRAMセルアレイは、相補型金属酸化膜半導体(CMOS)技術を使用している。
いくつかの実施形態では、周辺回路は、3Dメモリデバイス100の動作を容易にするために使用される任意の適切なデジタル、アナログ、及び/又は混合信号周辺回路を含む。例えば、周辺回路は、ページバッファ、デコーダ(例えば、行デコーダ及び列デコーダ)、センス増幅器、ドライバ、チャージポンプ、電流もしくは電圧基準、又は回路の任意の能動もしくは受動構成要素(例えば、トランジスタ、ダイオード、抵抗器、又はキャパシタ)のうちの1つ又は複数を含むことができる。埋め込みDRAMは、論理回路(例えば、周辺回路)の同じダイ上に集積されたDRAMであり、より広いバス及びより速い動作速度を可能にする。埋め込みDRAMは、すべてのタイプのDRAMと同様に、メモリセルの定期的なリフレッシュを必要とする。埋め込みDRAMをリフレッシュするためのメモリコントローラは、周辺回路の一部として埋め込むことができる。いくつかの実施形態では、各埋め込みDRAMセルは、負電荷の正としてデータのビットをストリングするためのキャパシタの他、それへのアクセスを制御する1つ又は複数のトランジスタを含む。一例では、各埋め込みDRAMセルは、1トランジスタ1キャパシタ(1 T 1 C)セルである。
3Dメモリデバイス100はまた、3D NANDメモリストリングのアレイを含む第2の半導体構造104を含むことができる。すなわち、第2の半導体構造104は、メモリセルがNANDメモリストリングのアレイの形態で設けられるNANDフラッシュメモリデバイスとすることができる。いくつかの実施形態では、NAND技術(例えば、メモリスタック内のレベル/階層の数)に応じて、3D NANDメモリストリングは、通常、32から256個のNANDメモリセルからなる。3D NANDメモリストリングはページに編成することができ、次いで各3D NANDメモリストリングがビット線(BL)と呼ばれる別個の線に接続されるブロックに編成される。3D NANDメモリストリング内の同じ位置を有するすべてのセルは、ワード線(WL)によって制御ゲートを介して接続することができる。いくつかの実施形態では、プレーンは、同じビット線を介して接続される特定の数のブロックを含む。第2の半導体構造104は一つ又は複数のプレーンを含んでもよく、すべての読み出し/書き込み/消去動作を実施するために必要な周辺回路は第1の半導体構造102に含まれてもよい。
図1Aに示すように、3Dメモリデバイス100は、垂直方向で第1の半導体構造102と第2の半導体構造104との間に接合界面106をさらに含む。以下で詳細に説明するように、第1の半導体構造102及び第2の半導体構造104は、第1の半導体構造102及び第2の半導体構造104の一方を製造する熱履歴が第1の半導体構造102及び第2の半導体構造104の他方を製造するプロセスを限定しないように、別々に(いくつかの実施形態では並列に)製造することができる。さらに、回路基板上の長距離チップツーチップデータバスとは対照的に、第1の半導体構造102と第2の半導体構造104との間に直接的で短い電気接続を行うために、接合界面106を介して多数の相互接続(例えば、ハイブリッド接合を介した接合コンタクト)を形成することができ、それにより、チップインターフェース遅延が排除され、消費電力が低減された高速I/Oスループットが達成される。第2の半導体構造104内の3D NANDメモリストリングのアレイと、第1の半導体構造102内の埋め込みDRAMセルのアレイとの間のデータ転送は、接合界面106にわたる相互接続(例えば、ハイブリッド接合を介した接合コンタクト)を介して実施され得る。また、第1の半導体構造102及び第2の半導体構造104を垂直方向に一体化することで、チップサイズを低減することができ、メモリセル密度を増大させることができる。
積層された第1の半導体構造102及び第2の半導体構造104の相対位置は限定されないことが理解される。図1Bは、いくつかの実施形態による、埋め込みDRAMを有する別の例示的な3Dメモリデバイス101の断面の概略図を示す。3D NANDメモリストリングのアレイを含む第2の半導体構造104が周辺回路及び埋め込みDRAMセルのアレイを含む第1の半導体構造102の上にある図1Aの3Dメモリデバイス100とは異なり、図1Bの3Dメモリデバイス100では、周辺回路及び埋め込みDRAMセルのアレイを含む第1の半導体構造102は、3D NANDメモリストリングのアレイを含む第2の半導体構造104の上にある。それにもかかわらず、いくつかの実施形態によれば、接合界面106は、垂直方向で3Dメモリデバイス101内の第1の半導体構造102と第2の半導体構造104との間に形成される他、第1の半導体構造102及び第2の半導体構造104は、接合(例えば、ハイブリッド結合)を介して垂直に接合される。第2の半導体構造104内の3D NANDメモリストリングのアレイと、第1の半導体構造102内の埋め込みDRAMセルのアレイとの間のデータ転送は、接合界面106にわたる相互接続(例えば、ハイブリッド接合を介した接合コンタクト)を介して実施され得る。
図2は、いくつかの実施形態による、周辺回路及び埋め込みDRAMを有する例示的な半導体構造200の概略平面図を示す。半導体構造200は、第1の半導体構造102の一例であってもよい。半導体構造200は、ワード線ドライバ202、ページバッファ204、及び任意の他の適切な回路を含む、3D NANDメモリを制御及び感知するための周辺回路を含むことができる。半導体構造200は、周辺回路と同じダイ上にあり、周辺回路と同じ論理プロセスを使用して製造された埋め込みDRAM206をさらに含むことができる。図2は、周辺回路(例えば、ワード線ドライバ202、ページバッファ204)と、周辺回路(例えば、ワード線ドライバ202、ページバッファ204)と埋め込みDRAM206とが同一平面上の異なる領域に形成された埋め込みDRAM206との例示的なレイアウトを示している。例えば、埋め込みDRAM206は、周辺回路(例えば、ワード線ドライバ202、ページバッファ204)の外部に形成されてもよい。半導体構造200のレイアウトは、図2の例示的なレイアウトに限定されないことが理解される。いくつかの実施形態では、周辺回路(例えば、ワード線ドライバ202、ページバッファ204)及び埋め込みDRAM206(例えば、埋め込みDRAMセルのアレイ)は、一方が他方の上方に、すなわち異なる平面上に積層される。例えば、チップサイズをより小さくするために、周辺回路(例えば、ワード線ドライバ202、ページバッファ204)より上又は下に、埋め込みDRAM206(例えば、埋め込みDRAMセルのアレイ)を形成してもよい。
図3は、いくつかの実施形態による、埋め込みDRAMを有する例示的な3Dメモリデバイス300の断面図を示す。図1Aに関して上述した3Dメモリデバイス100の一例として、3Dメモリデバイス300は、第1の半導体構造302と、第1の半導体構造302の上に積層された第2の半導体構造304とを含む非モノリシック3Dメモリデバイスである。第1の半導体構造302及び第2の半導体構造304は、その間の接合界面306で接合されている。図3に示すように、第1の半導体構造302は、シリコン(例えば、単結晶シリコン)、シリコンゲルマニウム(SiGe)、ヒ化ガリウム(GaAs)、ゲルマニウム(Ge)、シリコンオンインシュレータ(SOI)、又は任意の他の適切な材料を含むことができる基板308を含むことができる。
3Dメモリデバイス300の第1の半導体構造302は、基板308の上にデバイス層310を含むことができる。図3では、3Dメモリデバイス300内の構成要素の空間的関係をさらに示すために、x軸及びy軸が追加されていることに留意されたい。基板308は、x方向(横方向又は幅方向)に横方向に延在する2つの側面(例えば、上面及び底面)を有する。本明細書で使用される場合、半導体デバイス(例えば、3Dメモリデバイス300)の1つの構成要素(例えば、層又はデバイス)が別の構成要素(例えば、層又はデバイス)の「上に」、「より上に」、又は「より下に」あるかどうかは、基板がy方向に半導体デバイスの最も低い平面に位置するとき、y方向(垂直方向又は厚さ方向)における半導体デバイス(例えば、基板308)の基板との相関で判定される。空間的関係を説明するための同じ概念が本開示全体にわたって適用される。
いくつかの実施形態では、デバイス層310は、基板308上の周辺回路312と、基板308上及び周辺回路312の外側の埋め込みDRAMセルのアレイ314とを含む。いくつかの実施形態では、周辺回路312は、ページバッファ、デコーダ(例えば、行デコーダ及び列デコーダ)、センス増幅器、ドライバ、チャージポンプ、電流又は電圧基準を含むがこれらに限定されない、3Dメモリデバイス300の動作を容易にするために使用される任意の適切なデジタル、アナログ、及び/又は混合信号周辺回路を形成する複数の周辺トランジスタ316を含む。周辺トランジスタ316は、基板308上に形成することができ、周辺トランジスタ316の全体又は一部は、基板308内に(例えば、基板308の上面より下)及び/又は基板308上に直接形成される。分離領域(例えば、シャロートレンチアイソレーション(STI))及びドープ領域(例えば、周辺トランジスタ316のソース領域及びドレイン領域)も基板308内に形成することができる。
いくつかの実施形態では、各埋め込みDRAMセル314は、DRAM選択トランジスタ318及びキャパシタ320を含む。埋め込みDRAMセル314は、1つのトランジスタ及び1つのキャパシタからなる1T1Cセルとすることができる。埋め込みDRAMセル314は、2T1Cセル、3T1Cセルなどの任意の適切な構成であってもよいことが理解される。いくつかの実施形態では、DRAM選択トランジスタ318は基板308上に形成され、DRAM選択トランジスタ318の全体又は一部は基板308内に(例えば、基板308の上面より下)及び/又は基板308上に直接形成される。分離領域(例えば、シャロートレンチアイソレーション(STI))及びドープ領域(例えば、DRAM選択トランジスタ318のソース領域及びドレイン領域)も基板308内に形成することができる。図3に示すように、DRAM選択トランジスタ318及び周辺トランジスタ316は、同一平面上、例えば基板308上の異なる領域に形成することができる。すなわち、DRAM選択トランジスタ318は、基板308上の周辺回路312が形成された領域の外側に形成することができる。いくつかの実施形態では、キャパシタ320は、DRAM選択トランジスタ318の上に形成される。いくつかの実施形態によれば、各キャパシタ320は、その一方がそれぞれのDRAM選択トランジスタ318の一方のノードに電気的に接続されている2つの電極を含む。いくつかの実施形態によれば、各DRAM選択トランジスタ318の別のノードは、埋め込みDRAMのビット線319に電気的に接続される。各キャパシタ320の別の電極は、共通プレート321、例えば共通接地に電気的に接続することができる。埋め込みDRAMセル314の構造及び構成は、図3の例に限定されず、任意の適切な構造及び構成を含み得ることが理解される。例えば、キャパシタ320は、平面キャパシタ、スタックキャパシタ、マルチフィンキャパシタ、シリンダキャパシタ、トレンチキャパシタ、又は基板キャパシタであってもよい。
いくつかの実施形態では、3Dメモリデバイス300の第1の半導体構造302は、周辺回路312及び埋め込みDRAMセル314のアレイとの間で電気信号を転送するために、デバイス層310の上に相互接続層322をさらに含む。相互接続層322は、横方向相互接続線及び垂直相互接続アクセス(ビア)コンタクトを含む複数の相互接続(本明細書では「コンタクト」とも呼ばれる)を含むことができる。本明細書で使用される場合、「相互接続」という用語は、ミドルエンドオブライン(MEOL)相互接続及びバックエンドオブライン(BEOL)相互接続などの任意の適切なタイプの相互接続を広く含むことができる。相互接続層322は、相互接続線及びビアコンタクトが形成され得る1つ又は複数の層間誘電体(ILD)層(「金属間誘電体(IMD)層」としても知られる)をさらに含むことができる。すなわち、相互接続層322は、複数のILD層内に相互接続線及びビアコンタクトを含むことができる。相互接続層322内の相互接続線及びビアコンタクトは、タングステン(W)、コバルト(Co)、銅(Cu)、アルミニウム(Al)、ケイ化物、又はそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。相互接続層322内のILD層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低誘電率(低k)誘電体、又はそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。
図3に示すように、3Dメモリデバイス300の第1の半導体構造302は、接合界面306、相互接続層322及びデバイス層310(周辺回路312及び埋め込みDRAMセル314のアレイを含む)の上に接合層324をさらに含むことができる。接合層324は、複数の接合コンタクト326と、接合コンタクト326を電気的に絶縁する誘電体とを含むことができる。接合コンタクト326は、W、Co、Cu、Al、ケイ化物、又はそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。接合層324の残りの領域は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、又はそれらの任意の組み合わせを含むがこれらに限定されない誘電体で形成することができる。接合コンタクト326及び接合層324内の周囲の誘電体は、ハイブリッド接合に使用することができる。
同様に、図3に示すように、3Dメモリデバイス300の第2の半導体構造304はまた、接合界面306及び第1の半導体構造302の接合層324の上に接合層328を含むことができる。接合層328は、複数の接合コンタクト330と、接合コンタクト330を電気的に絶縁する誘電体とを含むことができる。接合コンタクト330は、W、Co、Cu、Al、ケイ化物、又はそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。接合層328の残りの領域は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、又はそれらの任意の組み合わせを含むがこれらに限定されない誘電体で形成することができる。接合コンタクト330及び接合層328内の周囲の誘電体は、ハイブリッド接合に使用することができる。
上述したように、第2の半導体構造304は、接合界面306において対面方式で第1の半導体構造302の上に接合することができる。いくつかの実施形態では、接合界面306は、直接接合技術(例えば、はんだ又は接着剤などの中間層を使用せずに表面間の接合を形成する)であり、金属-金属接合及び誘電体-誘電体接合を同時に得ることができるハイブリッド接合(「金属/誘電体ハイブリッド接合」としても知られる)の結果として接合層324と328との間に配置される。いくつかの実施形態では、接合界面306は、接合層324及び328が接触して接合される場所である。実際には、接合界面306は、第1の半導体構造302の接合層324の上面及び第2の半導体構造304の接合層328の底面を含む特定の厚さを有する層とすることができる。
いくつかの実施形態では、3Dメモリデバイス300の第2の半導体構造304は、電気信号を転送するために接合層328の上に相互接続層332をさらに含む。相互接続層332は、MEOL相互接続及びBEOL相互接続などの複数の相互接続を含むことができる。相互接続層332は、相互接続線及びビアコンタクトが形成され得る1つ又は複数のILD層をさらに含むことができる。相互接続層332内の相互接続線及びビアコンタクトは、W、Co、Cu、Al、ケイ化物、又はそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。相互接続層332内のILD層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、又はそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。
いくつかの実施形態では、3Dメモリデバイス300の第2の半導体構造304は、メモリセルが相互接続層332及び接合層328の上に3D NANDメモリストリング338のアレイの形態で提供されるNANDフラッシュメモリデバイスを含む。いくつかの実施形態によれば、各3D NANDメモリストリング338は、それぞれが導体層334及び誘電体層336を含む複数の対を通って垂直に延在する。積層され交互に配置された導体層334及び誘電体層336は、本明細書ではメモリスタック333とも呼ばれる。いくつかの実施形態によれば、メモリスタック333内の交互に配置された導体層334及び誘電体層336は、垂直方向に交互になる。言い換えれば、メモリスタック333の上部又は底部のものを除いて、各導体層334は両側に2つの誘電体層336が隣接することができ、各誘電体層336は両側に2つの導体層334が隣接することができる。導体層334は、それぞれ同じ厚さを有してもよく、又は異なる厚さを有してもよい。同様に、誘電体層336は、それぞれ同じ厚さを有してもよく、又は異なる厚さを有してもよい。導体層334は、W、Co、Cu、Al、ドープシリコン、ケイ化物、又はそれらの任意の組み合わせを含むがこれらに限定されない導体材料を含むことができる。誘電体層336は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、又はそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。
いくつかの実施形態では、各3D NANDメモリストリング338は、半導体チャネル342及びメモリ膜340を含む「電荷トラップ」タイプのNANDメモリストリングである。いくつかの実施形態では、半導体チャネル342は、アモルファスシリコン、ポリシリコン、又は単結晶シリコンなどのシリコンを含む。いくつかの実施形態では、メモリ膜340は、トンネル層、記憶層(「電荷トラップ/記憶層」としても知られる)、及びブロッキング層を含む複合誘電体層である。各3D NANDメモリストリング338は、円筒形状(例えば、ピラー形状)を有することができる。いくつかの実施形態によれば、メモリ膜340の半導体チャネル342、トンネル層、記憶層、及びブロッキング層は、この順序でピラーの中心から外面に向かう方向に沿って配置される。トンネル層は、酸化ケイ素、酸窒化ケイ素、又はそれらの任意の組み合わせを含むことができる。記憶層は、窒化ケイ素、酸窒化ケイ素、ケイ素、又はそれらの任意の組み合わせを含むことができる。ブロッキング層は、酸化ケイ素、酸窒化ケイ素、高誘電率(高k)誘電体、又はそれらの任意の組み合わせを含むことができる。一例では、ブロッキング層は、酸化ケイ素/酸窒化ケイ素/酸化ケイ素(ONO)の複合層を含むことができる。別の例では、ブロッキング層は、酸化アルミニウム(Al)、酸化ハフニウム(HfO)又は酸化タンタル(Ta)層などの高k誘電体層を含むことができる。
いくつかの実施形態では、3D NANDメモリストリング338は、複数の制御ゲート(各々がワード線の一部である)をさらに含む。メモリスタック333内の各導体層334は、3D NANDメモリストリング338の各メモリセルの制御ゲートとして機能することができる。いくつかの実施形態では、各3D NANDメモリストリング338は、垂直方向のそれぞれの端部に2つのプラグ344及び346を含む。プラグ344は、半導体層348からエピタキシャル成長された単結晶シリコンなどの半導体材料を含むことができる。プラグ344は、3D NANDメモリストリング338のソース選択ゲートによって制御されるチャネルとして機能することができる。プラグ344は、3D NANDメモリストリング338の上端にあり、半導体チャネル342と接触することができる。本明細書で使用される場合、構成要素(例えば、3D NANDメモリストリング338)の「上端」は、基板308からy方向に離れた端部であり、構成要素(例えば、3D NANDメモリストリング338)の「下端」は、基板308が3Dメモリデバイス300の最も低い平面に位置するときに、y方向に基板308により近い端部である。別のプラグ346は、半導体材料(例えば、ポリシリコン)又は導体材料(例えば、金属)を含むことができる。いくつかの実施形態では、プラグ346は、チタン/窒化チタン(バリア層としてTi/TiN)及びタングステン(導体として)で充填された開口部を含む。3Dメモリデバイス300の製造中に3D NANDメモリストリング338の上端を覆うことによって、プラグ346は、酸化ケイ素及び窒化ケイ素などの3D NANDメモリストリング338に充填された誘電体のエッチングを防止するエッチング停止層として機能することができる。いくつかの実施形態では、プラグ346は、3D NANDメモリストリング338のドレインとして機能する。
いくつかの実施形態では、第1の半導体構造302は、メモリスタック333及び3D NANDメモリストリング338の上に配置された半導体層348をさらに含む。半導体層348は、その上にメモリスタック333及び3D NANDメモリストリング338が形成される薄くされた基板とすることができる。いくつかの実施形態では、半導体層348は、プラグ344をエピタキシャル成長させることができる単結晶シリコンを含む。いくつかの実施形態では、半導体層348は、ポリシリコン、アモルファスシリコン、SiGe、GaAs、Ge、又は任意の他の適切な材料を含むことができる。半導体層348はまた、分離領域及びドープ領域(例えば、図示されていないが、3D NANDメモリストリング338のアレイ共通ソースとして機能する)を含むことができる。分離領域(図示せず)は、ドープ領域を電気的に分離するために半導体層348の厚さ全体又は厚さの一部にわたって延在することができる。いくつかの実施形態では、酸化ケイ素を含むパッド酸化物層がメモリスタック333と半導体層348との間に配置される。
3D NANDメモリストリング338は、「電荷トラップ」タイプの3D NANDメモリストリングに限定されず、他の実施形態では「フローティングゲート」タイプの3D NANDメモリストリングであってもよいことが理解される。半導体層348は、「フローティングゲート」タイプの3D NANDメモリストリングのソースプレートとしてポリシリコンを含むことができる。
図3に示すように、3Dメモリデバイス300の第2の半導体構造304は、半導体層348の上にパッドアウト相互接続層350をさらに含むことができる。パッドアウト相互接続層350は、1つ又は複数のILD層内に相互接続、例えばコンタクトパッド352を含む。パッドアウト相互接続層350及び相互接続層332は、半導体層348の両側に形成することができる。いくつかの実施形態では、パッドアウト相互接続層350内の相互接続は、例えばパッドアウト目的のために、3Dメモリデバイス300と外部回路との間で電気信号を転送することができる。
いくつかの実施形態では、第2の半導体構造304は、パッドアウト相互接続層350と相互接続層332及び322とを電気的に接続するために半導体層348を貫通する1つ又は複数のコンタクト354をさらに含む。結果として、埋め込みDRAMセル314のアレイは、相互接続層322及び332並びに接合コンタクト326及び330を介して3D NANDメモリストリング338のアレイに電気的に接続することができる。さらに、周辺回路312、埋め込みDRAMセルのアレイ314、及び3D NANDメモリストリング338のアレイは、コンタクト354及びパッドアウト相互接続層350を介して外部回路に電気的に接続することができる。
図4は、いくつかの実施形態による、埋め込みDRAMを有する別の例示的な3Dメモリデバイス400の断面図を示す。図3で上述した3Dメモリデバイス300と同様に、3Dメモリデバイス400は、3D NANDメモリストリングを含む第1の半導体構造402と、周辺回路及び埋め込みDRAMセルを含む第2の半導体構造404とが別々に形成され、接合界面406で対面方式で接合される非モノリシック3Dメモリデバイスの一例を表す。周辺回路及び埋め込みDRAMセルを含む第1の半導体構造302が3D NANDメモリストリングを含む第2の半導体構造304の下にある図3で上述した3Dメモリデバイス300とは異なり、図4の3Dメモリデバイス400は、周辺回路を含む第2の半導体構造404と、3D NANDメモリストリングを含む第1の半導体構造402の上に配置された埋め込みDRAMセルとを含む。3Dメモリデバイス300及び400の両方における同様の構造(例えば、材料、製造プロセス、機能など)の詳細は、以下では繰り返されないことが理解される。
3Dメモリデバイス400の第1の半導体構造402は、基板408と、基板408の上の交互に配置された導体層412及び誘電体層414を含むメモリスタック410とを含むことができる。いくつかの実施形態では、3D NANDメモリストリング416のアレイはそれぞれ、基板408の上のメモリスタック410内の交互に配置された導体層412及び誘電体層414を垂直に貫通する。各3D NANDメモリストリング416は、半導体チャネル420及びメモリ膜418を含むことができる。各3D NANDメモリストリング416は、その下端及び上端にそれぞれ2つのプラグ422及び424をさらに含む。3D NANDメモリストリング416は、「電荷トラップ」タイプの3D NANDメモリストリング又は「フローティングゲート」タイプの3D NANDメモリストリングであり得る。いくつかの実施形態では、酸化ケイ素を含むパッド酸化物層がメモリスタック410と基板408との間に配置される。
いくつかの実施形態では、3Dメモリデバイス400の第1の半導体構造402はまた、メモリスタック410及び3D NANDメモリストリング416の上に相互接続層426を含み、3D NANDメモリストリング416との間で電気信号を転送する。相互接続層426は、相互接続線及びビアコンタクトを含む複数の相互接続を含むことができる。いくつかの実施形態では、相互接続層426内の相互接続はまた、ビット線コンタクト及びワード線コンタクトなどのローカル相互接続を含む。いくつかの実施形態では、3Dメモリデバイス400の第1の半導体構造402は、接合界面406に、並びに相互接続層426及びメモリスタック410の上に接合層428をさらに含む。接合層428は、複数の接合コンタクト430と、接合コンタクト430を取り囲み、電気的に絶縁する誘電体とを含むことができる。
図4に示すように、3Dメモリデバイス400の第2の半導体構造404は、接合界面406及び接合層428の上に別の接合層432を含む。接合層432は、複数の接合コンタクト434と、接合コンタクト434を取り囲み、電気的に絶縁する誘電体とを含むことができる。いくつかの実施形態では、3Dメモリデバイス400の第2の半導体構造404はまた、電気信号を転送するために接合層432の上に相互接続層436を含む。相互接続層436は、相互接続線及びビアコンタクトを含む複数の相互接続を含むことができる。
3Dメモリデバイス400の第2の半導体構造404は、相互接続層436及び接合層432の上にデバイス層438をさらに含むことができる。いくつかの実施形態では、デバイス層438は、相互接続層436及び接合層432の上の周辺回路442と、相互接続層436及び接合層432の上及び周辺回路442の外側の埋め込みDRAMセル444のアレイとを含む。いくつかの実施形態では、周辺回路442は、ページバッファ、デコーダ(例えば、行デコーダ及び列デコーダ)、センス増幅器、ドライバ、チャージポンプ、電流又は電圧基準を含むがこれらに限定されない、3Dメモリデバイス400の動作を容易にするために使用される任意の適切なデジタル、アナログ、及び/又は混合信号周辺回路を形成する複数の周辺トランジスタ446を含む。周辺トランジスタ446は、半導体層440「上」に形成することができ、周辺トランジスタ446の全体又は一部は、半導体層440内に、及び/又は半導体層440上に直接形成される。分離領域(例えば、シャロートレンチアイソレーション(STI))及びドープ領域(例えば、周辺トランジスタ446のソース領域及びドレイン領域)も半導体層440内に形成することができる。
いくつかの実施形態では、各埋め込みDRAMセル444は、DRAM選択トランジスタ448及びキャパシタ450を含む。埋め込みDRAMセル444は、1つのトランジスタ及び1つのキャパシタからなる1T1Cセルとすることができる。埋め込みDRAMセル444は、2T1Cセル、3T1Cセルなどの任意の適切な構成であってもよいことが理解される。いくつかの実施形態では、DRAM選択トランジスタ448は、半導体層440「上」に形成され、DRAM選択トランジスタ448の全体又は一部は、半導体層440内及び/又は半導体層440上に直接形成される。分離領域(例えば、シャロートレンチアイソレーション(STI))及びドープ領域(例えば、DRAM選択トランジスタ448のソース領域及びドレイン領域)も半導体層440内に形成することができる。図4に示すように、DRAM選択トランジスタ448及び周辺トランジスタ446は、同一平面上、例えば半導体層440上の異なる領域に形成することができる。すなわち、DRAM選択トランジスタ448は、周辺回路442が半導体層440上に形成される領域の外側に形成することができる。いくつかの実施形態では、キャパシタ450はDRAM選択トランジスタ448の下に配置される。いくつかの実施形態によれば、各キャパシタ450は、その一方がそれぞれのDRAM選択トランジスタ448の一方のノードに電気的に接続されている2つの電極を含む。いくつかの実施形態によれば、各DRAM選択トランジスタ448の別のノードは、埋め込みDRAMのビット線449に電気的に接続される。各キャパシタ450の別の電極は、共通プレート451、例えば共通接地に電気的に接続することができる。埋め込みDRAMセル444の構造及び構成は、図4の例に限定されず、任意の適切な構造及び構成を含み得ることが理解される。例えば、キャパシタ450は、平面キャパシタ、スタックキャパシタ、マルチフィンキャパシタ、シリンダキャパシタ、トレンチキャパシタ、又は基板キャパシタであってもよい。
いくつかの実施形態では、第2の半導体構造404は、デバイス層438の上に配置された半導体層440をさらに含む。半導体層440は、その上に周辺トランジスタ446及びDRAM選択トランジスタ448が形成される薄くされた基板とすることができる。いくつかの実施形態では、半導体層440は単結晶シリコンを含む。いくつかの実施形態では、半導体層440は、ポリシリコン、アモルファスシリコン、SiGe、GaAs、Ge、又は任意の他の適切な材料を含むことができる。半導体層440はまた、分離領域及びドープ領域を含むことができる。
図4に示すように、3Dメモリデバイス400の第2の半導体構造404は、半導体層440の上にパッドアウト相互接続層452をさらに含むことができる。パッドアウト相互接続層452は、1つ又は複数のILD層内に相互接続、例えばコンタクトパッド454を含む。いくつかの実施形態では、パッドアウト相互接続層452内の相互接続は、例えばパッドアウト目的のために、3Dメモリデバイス400と外部回路との間で電気信号を転送することができる。いくつかの実施形態では、第2の半導体構造404は、パッドアウト相互接続層452と相互接続層436及び426とを電気的に接続するために半導体層440を貫通する1つ又は複数のコンタクト456をさらに含む。結果として、埋め込みDRAMセル444のアレイは、相互接続層426及び436並びに接合コンタクト430及び434を介して3D NANDメモリストリング416のアレイに電気的に接続することができる。さらに、周辺回路442、埋め込みDRAMセル444のアレイ、及び3D NANDメモリストリング416のアレイは、コンタクト456及びパッドアウト相互接続層452を介して外部回路に電気的に接続することができる。
図5A~図5Cは、いくつかの実施形態による、周辺回路及び埋め込みDRAMを有する例示的な半導体構造を形成するための製造プロセスを示す。図6A及び図6Bは、いくつかの実施形態による、3D NANDメモリストリングを有する例示的な半導体構造を形成するための製造プロセスを示す。図7A及び図7Bは、いくつかの実施形態による、埋め込みDRAMを有する例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図9は、いくつかの実施形態による、埋め込みDRAMを有する3Dメモリデバイスを形成するための例示的な方法900のフローチャートである。図5~図7及び図9に示す3Dメモリデバイスの例は、図3に示す3Dメモリデバイス300及び図4に示す3Dメモリデバイス400を含む。図5~図7及び図9を併せて説明する。方法900に示される動作は網羅的ではなく、図示された動作のいずれかの前、後、又は間に他の動作も実施され得ることが理解される。さらに、いくつかの動作は、同時に、又は図9に示す順序とは異なる順序で実施されてもよい。
図5A~図5Cに示すように、周辺回路と、埋め込みDRAMセルのアレイと、複数の第1の接合コンタクトを含む第1の接合層とを含む第1の半導体構造が形成される。図6A及び図6Bに示すように、3D NANDメモリストリングのアレイと、複数の第2の接合コンタクトを含む第2の接合層とを含む第2の半導体構造が形成される。図7A及び図7Bに示すように、第1の半導体構造及び第2の半導体構造は、第1の接合コンタクトが接合界面で第2の接合コンタクトと接触するように対面方式で接合される。
図9を参照すると、方法900は工程902で開始し、周辺回路及び埋め込みDRAMセルのアレイが第1の基板上に形成される。第1の基板はシリコン基板とすることができる。いくつかの実施形態では、周辺回路及び埋め込みDRAMセルのアレイを形成するために、複数のトランジスタが第1の基板上に形成され、複数のキャパシタがいくつかのトランジスタの上に接触して形成される。
図5Aに示すように、シリコン基板502上には、複数のトランジスタ(例えば、周辺トランジスタ504及びDRAM選択トランジスタ506)が形成されている。トランジスタ504及び506は、フォトリソグラフィ、乾式/湿式エッチング、薄膜堆積、熱成長、注入、化学機械研磨(CMP)、及び任意の他の適切なプロセスを含むがこれらに限定されない複数のプロセスによって形成することができる。いくつかの実施形態では、ドープ領域は、例えばトランジスタ504及び506のソース領域及び/又はドレイン領域として機能するイオン注入及び/又は熱拡散によってシリコン基板502内に形成される。いくつかの実施形態では、分離領域(例えば、STI)もまた、湿式/乾式エッチング及び薄膜堆積によってシリコン基板502内に形成される。
図5Bに示すように、DRAM選択トランジスタ506の上に接触して複数のキャパシタ508が形成されている。各キャパシタ508は、例えば、キャパシタ508の一方の電極をそれぞれのDRAM選択トランジスタ506の一方のノードに電気的に接続することによって、フォトグラフィによってパターニングされて、1T1Cメモリセルを形成するためにそれぞれのDRAM選択トランジスタ506と位置合わせされ得る。いくつかの実施形態では、DRAM選択トランジスタ506とキャパシタ508とを接続するために、ビット線507及び共通プレート509も形成される。キャパシタ508は、フォトリソグラフィ、乾式/湿式エッチング、薄膜堆積、熱成長、注入、CMP、及び任意の他の適切なプロセスを含むがこれらに限定されない複数のプロセスによって形成することができる。これにより、周辺回路(周辺トランジスタ504を有する)及び埋め込みDRAMセルのアレイ(各々がDRAM選択トランジスタ506及びキャパシタ508を有する)を含むデバイス層510が形成される。
方法900は、図9に示すように、工程904に進み、周辺回路及び埋め込みDRAMセルのアレイの上に第1の相互接続層が形成される。第1の相互接続層は、1つ又は複数のILD層に第1の複数の相互接続を含むことができる。図5Cに示すように、周辺回路(周辺トランジスタ504を有する)及び埋め込みDRAMセルのアレイ(各々がDRAM選択トランジスタ506及びキャパシタ508を有する)を含むデバイス層510の上に相互接続層512を形成することができる。相互接続層512は、デバイス層510との電気的接続を行うために、複数のILD層内にMEOL及び/又はBEOLの相互接続を含むことができる。いくつかの実施形態では、相互接続層512は、複数のプロセスで形成された複数のILD層及びその中の相互接続を含む。例えば、相互接続層512内の相互接続は、CVD、PVD、ALD、電気めっき、無電解めっき、又はそれらの任意の組み合わせを含むがこれらに限定されない1つ又は複数の薄膜堆積プロセスによって堆積された導電性材料を含むことができる。相互接続を形成するための製造プロセスはまた、フォトリソグラフィ、CMP、湿式/乾式エッチング、又は任意の他の適切なプロセスを含むことができる。ILD層は、CVD、PVD、ALD、又はそれらの任意の組み合わせを含むがこれらに限定されない1つ又は複数の薄膜堆積プロセスによって堆積された誘電材料を含むことができる。図5Cに示すILD層及び相互接続は、相互接続層512と総称することができる。
方法900は、図9に示すように、工程906に進み、第1の相互接続層の上に第1の接合層が形成される。第1の接合層は、複数の第1の接合コンタクトを含むことができる。図5Cに示すように、相互接続層512の上に接合層514が形成される。接合層514は、誘電体によって囲まれた複数の接合コンタクト516を含むことができる。いくつかの実施形態では、CVD、PVD、ALD、又はそれらの任意の組み合わせを含むがこれらに限定されない1つ又は複数の薄膜堆積プロセスによって、相互接続層512の上面に誘電体層が堆積される。次に、誘電体層を貫通して、相互接続層512内の相互接続に接触する接合コンタクト516を、パターニングプロセス(例えば、誘電体層内の誘電体材料のフォトリソグラフィ及び乾式/湿式エッチング)を使用して誘電体層を貫通するコンタクトホールを最初にパターニングすることによって形成することができる。コンタクトホールには、導体(例えば、銅)を充填することができる。いくつかの実施形態では、コンタクトホールを充填することは、導体を堆積する前にバリア層、接着層、及び/又はシード層を堆積することを含む。
方法900は、図9に示すように、工程908に進み、メモリスタックが第2の基板の上に形成される。第2の基板は、シリコン基板とすることができる。図6Aに示すように、シリコン基板602の上に、交互に配置された犠牲層(図示せず)及び誘電体層608が形成される。交互に配置された犠牲層及び誘電体層608は、誘電体スタック(図示せず)を形成することができる。いくつかの実施形態では、各犠牲層は窒化ケイ素の層を含み、各誘電体層608は酸化ケイ素の層を含む。交互に配置された犠牲層及び誘電体層608は、CVD、PVD、ALD、又はそれらの任意の組み合わせを含むがこれらに限定されない1つ又は複数の薄膜堆積プロセスによって形成することができる。いくつかの実施形態では、メモリスタック604は、ゲート置換プロセス、例えば、誘電体層608に対して選択的な犠牲層の湿式/乾式エッチングを使用し、得られた凹部を導体層606で充填することによって、犠牲層を導体層606で置換することで、形成することができる。結果として、メモリスタック604は、交互に配置された導体層606及び誘電体層608を含むことができる。いくつかの実施形態では、各導体層606は、タングステンの層などの金属層を含む。メモリスタック604は、他の実施形態では、ゲート置換プロセスなしで導体層(例えば、ドープされたポリシリコン層)及び誘電体層(例えば、酸化ケイ素層)を交互に堆積することによって形成されてもよいことが理解される。いくつかの実施形態では、酸化ケイ素を含むパッド酸化物層が、メモリスタック604とシリコン基板602との間に形成される。
方法900は、図9に示すように、工程910に進み、メモリスタックを垂直に貫通する3D NANDメモリストリングのアレイが形成される。図6Aに示すように、3D NANDメモリストリング610がシリコン基板602の上に形成され、その各々は、メモリスタック604の交互に配置された導体層606及び誘電体層608を垂直に貫通する。いくつかの実施形態では、3D NANDメモリストリング610を形成する製造プロセスは、深堀り反応性イオンエッチング(DRIE)などの乾式エッチング/及び又は湿式エッチングを使用して、メモリスタック604を貫通してシリコン基板602内にチャネルホールを形成することと、その後、シリコン基板602からチャネルホールの下部にプラグ612をエピタキシャル成長させることとを含む。いくつかの実施形態では、3D NANDメモリストリング610を形成する製造プロセスはまた、その後、ALD、CVD、PVD、又はそれらの任意の組み合わせなどの薄膜堆積プロセスを使用して、メモリ膜614(例えば、トンネル層、記憶層、及びブロッキング層)及び半導体層616などの複数の層でチャネルホールを充填することを含む。いくつかの実施形態では、3D NANDメモリストリング610を形成する製造プロセスは、3D NANDメモリストリング610の上端の凹部をエッチングすることによってチャネルホールの上部に別のプラグ618を形成することと、その後、ALD、CVD、PVD、又はそれらの任意の組み合わせなどの薄膜堆積プロセスを使用して凹部を半導体材料で充填することとをさらに含む。
方法900は、図9に示すように、工程912に進み、第2の相互接続層が、3D NANDメモリストリングのアレイの上に形成される。第2の相互接続層は、1つ又は複数のILD層に第2の複数の相互接続を含むことができる。図6Bに示すように、相互接続層620は、メモリスタック604及び3D NANDメモリストリング610のアレイの上に形成することができる。相互接続層620は、3D NANDメモリストリング610との電気的接続を行うために、複数のILD層内にMEOL及び/又はBEOLの相互接続を含むことができる。いくつかの実施形態では、相互接続層620は、複数のプロセスで形成された複数のILD層及びその中の相互接続を含む。例えば、相互接続層620内の相互接続は、CVD、PVD、ALD、電気めっき、無電解めっき、又はそれらの任意の組み合わせを含むがこれらに限定されない1つ又は複数の薄膜堆積プロセスによって堆積された導電性材料を含むことができる。相互接続を形成するための製造プロセスはまた、フォトリソグラフィ、CMP、湿式/乾式エッチング、又は任意の他の適切なプロセスを含むことができる。ILD層は、CVD、PVD、ALD、又はそれらの任意の組み合わせを含むがこれらに限定されない1つ又は複数の薄膜堆積プロセスによって堆積された誘電材料を含むことができる。図6Bに示すILD層及び相互接続は、相互接続層620と総称することができる。
方法900は、図9に示すように、工程914に進み、第2の接合層が第2の相互接続層の上に形成される。第2の接合層は、複数の第2の接合コンタクトを含むことができる。図6Bに示すように、相互接続層620の上に接合層622が形成される。接合層622は、誘電体によって囲まれた複数の接合コンタクト624を含むことができる。いくつかの実施形態では、CVD、PVD、ALD、又はそれらの任意の組み合わせを含むがこれらに限定されない1つ又は複数の薄膜堆積プロセスによって、相互接続層620の上面に誘電体層が堆積される。次に、誘電体層を貫通して、相互接続層620内の相互接続に接触する接合コンタクト624を、パターニングプロセス(例えば、誘電体層内の誘電体材料のフォトリソグラフィ及び乾式/湿式エッチング)を使用して誘電体層を貫通するコンタクトホールを最初にパターニングすることによって形成することができる。コンタクトホールには、導体(例えば、銅)を充填することができる。いくつかの実施形態では、コンタクトホールを充填することは、導体を堆積する前にバリア層、接着層、及び/又はシード層を堆積することを含む。
方法900は、図9に示すように、工程916に進み、第1の基板と第2の基板とは、第1の接合コンタクトが接合界面で第2の接合コンタクトと接触するように対面方式で接合される。接合はハイブリッド接合とすることができる。いくつかの実施形態では、周辺回路及び埋め込みDRAMセルが形成される第1の基板(例えば、第1の半導体構造)は、接合後に3D NANDメモリストリングが形成される第2の基板(例えば、第2の半導体構造)の上に配置される。いくつかの実施形態では、3D NANDメモリストリングが形成される第2の基板(例えば、第2の半導体構造)は、接合後に周辺回路及び埋め込みDRAMセルが形成される第1の基板(例えば、第1の半導体構造)の上に配置される。
図7Aに示すように、シリコン基板602及びその上に形成される構成要素(例えば、3D NANDメモリストリング610)を上下反転させる。下を向く接合層622は、上を向く接合層514と、すなわち対面方式で接合され、それによって接合界面702(図7Bに示すように、)を形成する。いくつかの実施形態では、処理プロセス、例えばプラズマ処理、湿式処理、及び/又は熱処理が、接合前に接合面に適用される。図7Aには示されていないが、シリコン基板502及びその上に形成された構成要素(例えば、デバイス層510)は、上下反転させることができ、下向きの接合層514は、上向きの接合層622と、すなわち対面方式で接合され、それによって接合界面702を形成することができる。接合後、接合層622内の接合コンタクト624及び接合層514内の接合コンタクト516は、位置決めされ、互いに接触し、それによって、デバイス層510(例えば、周辺回路及びその中の埋め込みDRAMセル)を3D NANDメモリストリング610に電気的に接続することができる。接合デバイスでは、3D NANDメモリストリング610は、デバイス層510(例えば、周辺回路及びその中の埋め込みDRAMセル)より上又は下のいずれかにあってもよいことが理解される。しかしながら、図7Bに示すように、接合後に、3D NANDメモリストリング610とデバイス層510(例えば、周辺回路及びその中の埋め込みDRAMセル)との間に接合界面702を形成することができる。
方法900は、図9に示すように、工程918に進み、第1の基板又は第2の基板を薄くして半導体層を形成する。いくつかの実施形態では、接合後の第2の半導体構造の第2の基板の上にある第1の半導体構造の第1の基板は、半導体層を形成するために薄くされる。いくつかの実施形態では、接合後の第1の半導体構造の第1の基板の上にある第2の半導体構造の第2の基板は、半導体層を形成するために薄くされる。
図7Bに示すように、接合された3Dメモリデバイスの上部の基板(例えば、図7Aに示すシリコン基板402)が薄くされ、その結果、薄くされた上部基板は、半導体層704、例えば単結晶シリコン層として機能することができる。薄くされた基板の厚さは、約200nm~約5μm、例えば200nm~5μm、又は約150nm~約50μm、例えば150nm~50μmであり得る。シリコン基板402は、ウェハ研削、乾式エッチング、湿式エッチング、CMP、任意の他の適切なプロセス、又はそれらの任意の組み合わせを含むがこれらに限定されないプロセスによって薄くすることができる。シリコン基板502が接合された3Dメモリデバイスの上部の基板である場合、シリコン基板502を薄くすることによって別の半導体層を形成することができることが理解される。
方法900は、図9に示すように、工程920に進み、パッドアウト相互接続層が半導体層の上に形成される。図7Bに示すように、半導体層704(薄くされた上部基板)の上には、パッドアウト相互接続層706が形成されている。パッドアウト相互接続層705は、1つ又は複数のILD層に形成されたパッドコンタクト708などの相互接続を含むことができる。パッドコンタクト708は、W、Co、Cu、Al、ドープシリコン、ケイ化物、又はそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。ILD層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、又はそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。いくつかの実施形態では、接合及び薄化の後、例えば湿式/乾式エッチングとそれに続く導電性材料の堆積によって、半導体層704を垂直に貫通するコンタクト710が形成される。コンタクト710は、パッドアウト相互接続層706内の相互接続と接触することができる。
図8は、いくつかの実施形態による、埋め込みDRAM806を有する3Dメモリデバイス800の例示的な動作を示す。図10は、いくつかの実施形態による、埋め込みDRAMを有する3Dメモリデバイスを動作させるための例示的な方法1000のフローチャートである。図8に示す3Dメモリデバイス800の例は、図3に示す3Dメモリデバイス300及び図4に示す3Dメモリデバイス400を含む。図8及び図10を併せて説明する。方法1000に示される動作は網羅的ではなく、図示された動作のいずれかの前、後、又は間に他の動作も実施できることが理解される。さらに、いくつかの動作は、同時に、又は図10に示す順序とは異なる順序で実施されてもよい。図8に示すように、3Dメモリデバイス800は、入力/出力回路804(例えば、その周辺回路の一部)と、埋め込みDRAMセルのアレイを有する埋め込みDRAM806と、3D NANDメモリストリングのアレイを有する3D NANDメモリ808とを含む。入力/出力回路804、埋め込みDRAM806、及び3D NANDメモリ808は、上記で詳細に説明したように、同じチップ内に形成することができる。
図10を参照すると、方法1000は動作1002で開始し、データが入力/出力回路を介して埋め込みDRAMセルのアレイに転送される。図8に示すように、ホスト802によって生成された任意の適切なタイプのデータは、入力/出力回路804を介して3Dメモリデバイス800の埋め込みDRAM806に転送される。ホスト802は、1つ又は複数のプロセッサなど、データを生成する任意の適切なデバイスとすることができる。いくつかの実施形態では、ホスト802は、中央処理装置(CPU)、グラフィックプロセッサ(例えば、グラフィックス処理装置(GPU))、アプリケーションプロセッサ(AP)、汎用プロセッサ(例えば、APU、すなわち加速処理ユニット、GPGPU、すなわちGPU上の汎用コンピューティング)、又は任意の他の適切なプロセッサを含む。入力/出力回路804は、周辺回路の一部として高速で高スループットの入力/出力回路とすることができる。ホスト802及び3Dメモリデバイス800は、任意の適切な装置、例えば、仮想現実(VR)/拡張現実(AR)デバイス(例えば、VRヘッドセットなど)、ハンドヘルドデバイス(例えば、ダムフォン又はスマートフォン、タブレットなど)、ウェアラブルデバイス(例えば、眼鏡、腕時計など)、自動車制御ステーション、ゲームコンソール、テレビセット、ラップトップコンピュータ、デスクトップコンピュータ、ネットブックコンピュータ、メディアセンタ、セットトップボックス、全地球測位システム(GPS)、プリンタ、又は任意の他の適切なデバイスの一部とすることができる。
方法1000は、図10に示すように、工程1004に進み、データが埋め込みDRAMセルのアレイにバッファされる。図8に示すように、埋め込みDRAM806は、ホスト802から入力/出力回路804を介して転送されるデータをバッファする、3Dメモリデバイス800の集積高速オンチップバッファとして作業することができる。
方法1000は、図10に示すように、動作1006に進み、データが、埋め込みDRAMセルのアレイから3D NANDメモリストリングのアレイに格納される。図8に示すように、3D NANDメモリ808、埋め込みDRAM806にバッファされたデータは、3D NANDメモリ808に格納することができる。いくつかの実施形態では、データは、埋め込みDRAM806にバッファされ、同時に3D NANDメモリ808に格納される。
方法1000は、図10に示すように、工程1008に進み、データは、複数の接合コンタクトを介して3D NANDメモリストリングのアレイと埋め込みDRAMセルのアレイとの間で転送される。いくつかの実施形態では、転送は、3Dメモリデバイスの電源オン又は電源オフに応答してトリガされる。図8に示すように、詳細に上述したように、従来のオンボードチップツーチップデータバスと比較して、距離が短く、スループットが高く、消費電力が低い複数の接合コンタクトによる直接的な電気的接続を介して、埋め込みDRAM806と3D NANDメモリ808との間でデータを転送することができる。ホスト802のインスタントオン機能は、埋め込みDRAM806と3D NANDメモリ808との間で転送されるデータによって有効にすることができる。いくつかの実施形態では、ホスト802及び/又は3Dメモリデバイス800の電源オフに応答して、埋め込みDRAM806にバッファされたユーザデータ及び/又はオペレーションシステムデータのスナップショットは、電源オフ後に保持することができる3D NANDメモリ808に直ちに転送される。ホスト802及び/又は3Dメモリデバイス800の電源オンに応答して、3D NANDメモリ808に格納されたユーザデータ及び/又はオペレーションシステムデータのスナップショットは、電源オフの前のホスト802の最後の状態を復元するために、埋め込みDRAM806に直ちに返還され得る。
本開示の一態様によれば、3Dメモリデバイスは、周辺回路と、埋め込みDRAMセルのアレイと、複数の第1の接合コンタクトを含む第1の接合層とを含む第1の半導体構造を含む。3Dメモリデバイスはまた、3D NANDメモリストリングのアレイと、複数の第2の接合コンタクトを含む第2の接合層とを含む第2の半導体構造をさらに含む。3Dメモリデバイスは、第1の接合層と第2の接合層との間に接合界面をさらに含む。第1の接合コンタクトは、接合界面において第2の接合コンタクトと接触している。
いくつかの実施形態では、第1の半導体構造は、基板と、基板上の周辺回路と、基板上及び周辺回路の外側の埋め込みDRAMセルのアレイと、周辺回路及び埋め込みDRAMセルのアレイの上の第1の接合層とを含む。
いくつかの実施形態では、第2の半導体構造は、第1の接合層の上の第2の接合層と、第2の接合層の上のメモリスタックと、メモリスタックを垂直に貫通する3D NANDメモリストリングのアレイと、3D NANDメモリストリングのアレイの上にあり、それと接触している半導体層とを含む。いくつかの実施形態では、3Dメモリデバイスは、半導体層の上にパッドアウト相互接続層をさらに含む。
いくつかの実施形態では、半導体層はポリシリコンを含む。いくつかの実施形態では、半導体層は単結晶シリコンを含む。
いくつかの実施形態では、第2の半導体構造は、基板と、基板の上のメモリスタックと、メモリスタックを垂直に貫通する3D NANDメモリストリングのアレイと、メモリスタック及び3D NANDメモリストリングのアレイの上の第2の接合層とを含む。
いくつかの実施形態では、第1の半導体構造は、第2の接合層の上の第1の接合層と、第1の接合層の上の周辺回路と、第1の接合層の上及び周辺回路の外側にある埋め込みDRAMセルのアレイと、周辺回路及び埋め込みDRAMセルのアレイの上にあり、それらと接触している半導体層とを含む。いくつかの実施形態では、3Dメモリデバイスは、半導体層の上にパッドアウト相互接続層をさらに含む。
いくつかの実施形態では、周辺回路及び埋め込みDRAMセルのアレイは、一方が他方の上方に積層される。
いくつかの実施形態では、各埋め込みDRAMセルはトランジスタ及びキャパシタを含む。
いくつかの実施形態では、第1の半導体構造は、垂直方向で第1の接合層と埋め込みDRAMセルのアレイとの間に第1の相互接続層を含み、垂直方向で第2の半導体構造は、第2の接合層と3D NANDメモリストリングのアレイとの間に第2の相互接続層を含む。
いくつかの実施形態では、埋め込みDRAMセルのアレイは、第1及び第2の相互接続層並びに第1及び第2の接合コンタクトを介して3D NANDメモリストリングのアレイに電気的に接続される。
本開示の別の態様によれば、3Dメモリデバイスを形成するための方法が開示される。第1の半導体構造が形成される。第1の半導体構造は、周辺回路と、埋め込みDRAMセルのアレイと、複数の第1の接合コンタクトを含む第1の接合層とを含む。第2の半導体構造が形成される。第2の半導体構造は、3D NANDメモリストリングのアレイと、複数の第2の接合コンタクトを含む第2の接合層とを含む。第1の半導体構造及び第2の半導体構造は、第1の接合コンタクトが接合界面で第2の接合コンタクトと接触するように、対面方式で接合される。
いくつかの実施形態では、第1の半導体構造を形成するために、周辺回路及び埋め込みDRAMセルのアレイは第1の基板上に形成され、第1の相互接続層は周辺回路及び埋め込みDRAMセルのアレイの上に形成され、第1の接合層は第1の相互接続層の上に形成される。
いくつかの実施形態では、周辺回路及び埋め込みDRAMセルのアレイを形成するために、複数のトランジスタが第1の基板上に形成され、複数のキャパシタがいくつかのトランジスタの上に接触して形成される。
いくつかの実施形態では、第2の半導体構造を形成するために、メモリスタックが第2の基板の上に形成され、メモリスタックを垂直に貫通する3D NANDメモリストリングのアレイが形成され、3D NANDメモリストリングのアレイの上に第2の相互接続層が形成され、第2の接合層が第2の相互接続層の上に形成される。
いくつかの実施形態では、第2の半導体構造は、接合後に第1の半導体構造の上にある。いくつかの実施形態では、第2の基板は、接合後に半導体層を形成するために薄くされ、パッドアウト相互接続層が半導体層の上に形成される。
いくつかの実施形態では、第1の半導体構造は、接合後に第2の半導体構造の上にある。いくつかの実施形態では、第1の基板は、接合後に半導体層を形成するために薄くされ、パッドアウト相互接続層が半導体層の上に形成される。
いくつかの実施形態では、接合はハイブリッド接合を含む。
本開示のさらに別の態様によれば、3Dメモリデバイスを動作させるための方法が開示される。3Dメモリデバイスは、同じチップ内に入力/出力回路と、埋め込みDRAMセルのアレイと、3D NANDメモリストリングのアレイとを含む。データは、入力/出力回路を介して埋め込みDRAMセルのアレイに転送される。データは、埋め込みDRAMセルのアレイにバッファされる。データは、埋め込みDRAMセルのアレイから3D NANDメモリストリングのアレイに格納される。
いくつかの実施形態では、データは、複数の接合コンタクトを介して3D NANDメモリストリングのアレイと埋め込みDRAMセルのアレイとの間で転送される。
いくつかの実施形態では、転送は、3Dメモリデバイスの電源オン又は電源オフに応答してトリガされる。
特定の実施形態の前述の説明は、本開示の一般的な性質を明らかにするので、他者は、当業者の技能の範囲内で知識を適用することによって、本開示の一般的な概念から逸脱することなく、過度の実験を行うことなく、そのような特定の実施形態を様々な用途に容易に修正及び/又は適合させることができる。したがって、そのような適合及び修正は、本明細書に提示された教示及びガイダンスに基づいて、開示された実施形態の均等物の意味及び範囲内にあることが意図されている。本明細書の表現又は用語は、本明細書の用語又は表現が教示及びガイダンスに照らして当業者によって解釈されるように、限定ではなく説明を目的とするものであることを理解されたい。
本開示の実施形態は、指定された機能及びその関係の実装を示す機能的構成要素を用いて上述されている。これらの機能的構成要素の境界は、説明の便宜上、本明細書では任意に定義されている。指定された機能及びそれらの関係が適切に実行される限り、代替の境界を定義することができる。
発明の概要及び要約のセクションは、発明者によって企図される本開示のすべてではないが1つ又は複数の典型的な実施形態を記載することができ、したがって、本開示及び添付の特許請求の範囲を決して限定することを意図するものではない。
本開示の幅及び範囲は、上述の典型的な実施形態のいずれによっても限定されるべきではなく、以下の特許請求の範囲及びそれらの均等物に従ってのみ定義されるべきである。

Claims (25)

  1. 三次元(3D)メモリデバイスであって、
    周辺回路と、埋め込みダイナミックランダムアクセスメモリ(DRAM)セルのアレイと、複数の第1の接合コンタクトを備える第1の接合層とを備える第1の半導体構造と、
    3D NANDメモリストリングのアレイと、複数の第2の接合コンタクトを備える第2の接合層とを備える第2の半導体構造と、
    前記第1の接合層と前記第2の接合層との間の接合界面であって、前記第1の接合コンタクトが前記接合界面で前記第2の接合コンタクトと接触している、接合界面と、を備える三次元(3D)メモリデバイス。
  2. 前記第1の半導体構造は、
    基板と、
    前記基板上の前記周辺回路と、
    前記基板上及び前記周辺回路の外側の前記埋め込みDRAMセルのアレイと、
    前記周辺回路及び前記埋め込みDRAMセルのアレイの上の前記第1の接合層と、を備える、請求項1に記載の3Dメモリデバイス。
  3. 前記第2の半導体構造は、
    前記第1の接合層の上の前記第2の接合層と、
    前記第2の接合層の上のメモリスタックと、
    前記メモリスタックを垂直に貫通する3D NANDメモリストリングのアレイと、
    前記3D NANDメモリストリングのアレイの上にあり、前記3D NANDメモリストリングのアレイと接触している半導体層と、を備える、請求項2に記載の3Dメモリデバイス。
  4. 前記半導体層の上にパッドアウト相互接続層をさらに備える、請求項3に記載の3Dメモリデバイス。
  5. 前記半導体層はポリシリコンを含む、請求項3又は4に記載の3Dメモリデバイス。
  6. 前記半導体層は単結晶シリコンを含む、請求項3又は4に記載の3Dメモリデバイス。
  7. 前記第2の半導体構造は、
    基板と、
    前記基板の上のメモリスタックと、
    前記メモリスタックを垂直に貫通する前記3D NANDメモリストリングのアレイと、
    前記メモリスタック及び前記3D NANDメモリストリングのアレイの上の前記第2の接合層と、を備える、請求項1に記載の3Dメモリデバイス。
  8. 前記第1の半導体構造は、
    前記第2の接合層の上の前記第1の接合層と、
    前記第1の接合層の上の前記周辺回路と、
    前記第1の接合層の上及び前記周辺回路の外側にある前記埋め込みDRAMセルのアレイと、
    前記周辺回路及び前記埋め込みDRAMセルのアレイの上にあり、前記周辺回路及び前記埋め込みDRAMセルのアレイと接触している半導体層と、を備える、請求項7に記載の3Dメモリデバイス。
  9. 前記半導体層の上にパッドアウト相互接続層をさらに備える、請求項8に記載の3Dメモリデバイス。
  10. 前記周辺回路及び前記埋め込みDRAMセルのアレイは、一方が他方の上方に積層される、請求項1に記載の3Dメモリデバイス。
  11. 各埋め込みDRAMセルは、トランジスタ及びキャパシタを備える、請求項1から10のいずれか一項に記載の3Dメモリデバイス。
  12. 前記第1の半導体構造は、垂直方向で前記第1の接合層と前記埋め込みDRAMセルのアレイとの間に第1の相互接続層を備え、前記第2の半導体構造は、垂直方向で前記第2の接合層と前記3D NANDメモリストリングのアレイとの間に第2の相互接続層を備える、請求項1から11のいずれか一項に記載の3Dメモリデバイス。
  13. 前記埋め込みDRAMセルのアレイは、前記第1及び第2の相互接続層並びに前記第1及び第2の接合コンタクトを介して前記3D NANDメモリストリングのアレイに電気的に接続される、請求項12に記載の3Dメモリデバイス。
  14. 三次元(3D)メモリデバイスを形成するための方法であって、
    周辺回路と、埋め込みダイナミックランダムアクセスメモリ(DRAM)セルのアレイと、複数の第1の接合コンタクトを備える第1の接合層とを備える第1の半導体構造を形成することと、
    3D NANDメモリストリングのアレイと、複数の第2の接合コンタクトを備える第2の接合層とを備える第2の半導体構造を形成することと、
    前記第1の接合コンタクトが接合界面で前記第2の接合コンタクトと接触するように、前記第1の半導体構造と前記第2の半導体構造とを対面方式で接合することと、を含む方法。
  15. 前記第1の半導体構造を形成することは、
    第1の基板上に前記周辺回路及び前記埋め込みDRAMセルのアレイを形成することと、
    前記周辺回路及び前記埋め込みDRAMセルのアレイの上に第1の相互接続層を形成することと、
    前記第1の相互接続層の上に前記第1の接合層を形成することと、を含む、請求項14に記載の方法。
  16. 前記周辺回路及び前記埋め込みDRAMセルのアレイを形成することは、
    前記第1の基板上に複数のトランジスタを形成することと、
    いくつかの前記トランジスタの上にあり、いくつかの前記トランジスタと接触する複数のキャパシタを形成することと、を含む、請求項15に記載の方法。
  17. 前記第2の半導体構造を形成することは、
    第2の基板の上にメモリスタックを形成することと、
    前記メモリスタックを垂直に貫通する前記3D NANDメモリストリングのアレイを形成することと、
    前記3D NANDメモリストリングのアレイの上に第2の相互接続層を形成することと、
    前記第2の相互接続層の上に前記第2の接合層を形成することと、を含む、請求項14から16のいずれか一項に記載の方法。
  18. 前記第2の半導体構造は、前記接合後に前記第1の半導体構造の上にある、請求項14から17のいずれか一項に記載の方法。
  19. 前記接合後に、前記第2の基板を薄くして半導体層を形成することと、
    前記半導体層の上にパッドアウト相互接続層を形成することと、をさらに含む、請求項18に記載の方法。
  20. 前記第1の半導体構造は、前記接合後に前記第2の半導体構造の上にある、請求項14から17のいずれか一項に記載の方法。
  21. 前記接合後に、前記第1の基板を薄くして半導体層を形成することと、
    前記半導体層の上にパッドアウト相互接続層を形成することと、をさらに含む、請求項20に記載の方法。
  22. 前記接合がハイブリッド接合を含む、請求項14から21のいずれか一項に記載の方法。
  23. 同じチップ内に、入力/出力回路と、埋め込みダイナミックランダムアクセスメモリ(DRAM)セルのアレイと、3D NANDメモリストリングのアレイとを備える三次元(3D)メモリデバイスを動作させるための方法であって、
    前記入力/出力回路を介して前記埋め込みDRAMセルのアレイにデータを転送することと、
    前記埋め込みDRAMセルのアレイ内の前記データをバッファすることと、
    前記埋め込みDRAMセルのアレイから前記3D NANDメモリストリングのアレイ内に前記データを格納することと、を含む、方法。
  24. 複数の接合コンタクトを介して前記3D NANDメモリストリングのアレイと前記埋め込みDRAMセルのアレイとの間で前記データを転送することをさらに含む、請求項23に記載の方法。
  25. 前記転送することは、前記3Dメモリデバイスの電源オン又は電源オフに応答してトリガされる、請求項23又は24に記載の方法。
JP2021549994A 2019-04-30 2019-04-30 三次元メモリデバイス Active JP7328344B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2019/085237 WO2020220280A1 (en) 2019-04-30 2019-04-30 Three-dimensional memory device with embedded dynamic random-access memory

Publications (2)

Publication Number Publication Date
JP2022521631A true JP2022521631A (ja) 2022-04-11
JP7328344B2 JP7328344B2 (ja) 2023-08-16

Family

ID=67893943

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021549994A Active JP7328344B2 (ja) 2019-04-30 2019-04-30 三次元メモリデバイス

Country Status (7)

Country Link
US (2) US10984862B2 (ja)
EP (1) EP3891799A4 (ja)
JP (1) JP7328344B2 (ja)
KR (1) KR20210119509A (ja)
CN (1) CN110249427A (ja)
TW (1) TWI784180B (ja)
WO (1) WO2020220280A1 (ja)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116978778A (zh) 2016-06-28 2023-10-31 应用材料公司 用于3d nand存储器器件的基于cvd的氧化物-金属多结构
US11335405B2 (en) * 2018-12-17 2022-05-17 Samsung Electronics Co., Ltd. Nonvolatile memory device and operation method thereof
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
CN111033728A (zh) 2019-04-15 2020-04-17 长江存储科技有限责任公司 具有可编程逻辑器件和动态随机存取存储器的键合半导体器件及其形成方法
WO2020220484A1 (en) * 2019-04-30 2020-11-05 Yangtze Memory Technologies Co., Ltd. Bonded unified semiconductor chips and fabrication and operation methods thereof
CN110731012B (zh) * 2019-04-15 2021-01-29 长江存储科技有限责任公司 具有处理器和异构存储器的一体化半导体器件及其形成方法
CN111727503B (zh) 2019-04-15 2021-04-16 长江存储科技有限责任公司 具有可编程逻辑器件和异构存储器的统一半导体器件及其形成方法
KR20240045345A (ko) * 2019-04-15 2024-04-05 양쯔 메모리 테크놀로지스 씨오., 엘티디. 프로세서 및 동적 랜덤 액세스 메모리를 갖는 본디드 반도체 장치 및 이를 형성하는 방법
JP7328344B2 (ja) * 2019-04-30 2023-08-16 長江存儲科技有限責任公司 三次元メモリデバイス
WO2020220556A1 (en) * 2019-04-30 2020-11-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device with three-dimensional phase-change memory
CN110870062A (zh) 2019-04-30 2020-03-06 长江存储科技有限责任公司 具有可编程逻辑器件和nand闪存的键合半导体器件及其形成方法
JP7311615B2 (ja) 2019-04-30 2023-07-19 長江存儲科技有限責任公司 プロセッサおよびnandフラッシュメモリを有する接合半導体デバイスならびにそれを形成する方法
US11638377B2 (en) 2019-09-13 2023-04-25 Applied Materials, Inc. Self-aligned select gate cut for 3D NAND
CN110832638A (zh) 2019-10-12 2020-02-21 长江存储科技有限责任公司 具有内插结构的半导体器件及其形成方法
WO2021068229A1 (en) * 2019-10-12 2021-04-15 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices having hydrogen blocking layer and fabrication methods thereof
CN112530863B (zh) * 2019-10-12 2023-10-10 长江存储科技有限责任公司 用于裸片对裸片进行键合的方法和结构
CN111066146A (zh) 2019-11-22 2020-04-24 长江存储科技有限责任公司 三维存储器件中的具有处于衬底内的导电部分的接触结构及其形成方法
CN110998845B (zh) 2019-11-22 2022-01-07 长江存储科技有限责任公司 三维存储器件中的具有处于衬底内的导电部分的接触结构及其形成方法
CN111180344B (zh) * 2020-01-02 2021-12-07 长江存储科技有限责任公司 三维堆叠结构及制备方法
KR20210088810A (ko) * 2020-01-06 2021-07-15 에스케이하이닉스 주식회사 3차원 반도체 메모리 장치
KR20210137133A (ko) * 2020-01-21 2021-11-17 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3차원 메모리 소자의 상호연결 구조체
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure
US11527545B2 (en) * 2020-02-12 2022-12-13 Tokyo Electron Limited Architecture design and process for 3D logic and 3D memory
CN113451314B (zh) * 2020-02-20 2023-10-31 长江存储科技有限责任公司 具有xtacking架构的dram存储器件
US11282828B2 (en) 2020-02-20 2022-03-22 Tokyo Electron Limited High density architecture design for 3D logic and 3D memory circuits
JP2021136269A (ja) * 2020-02-25 2021-09-13 キオクシア株式会社 半導体装置
US11251186B2 (en) 2020-03-23 2022-02-15 Intel Corporation Compute near memory with backend memory
CN112352315B (zh) * 2020-04-14 2022-10-11 长江存储科技有限责任公司 具有背面互连结构的三维存储器件
TWI780666B (zh) * 2020-05-07 2022-10-11 愛普科技股份有限公司 半導體結構及製造複數個半導體結構之方法
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
US11658042B2 (en) 2020-08-18 2023-05-23 Applied Materials, Inc. Methods for etching structures and smoothing sidewalls
WO2022039848A1 (en) 2020-08-18 2022-02-24 Applied Materials, Inc. Methods for etching structures with oxygen pulsing
US11688681B2 (en) * 2020-08-24 2023-06-27 Ap Memory Technology Corporation DRAM chiplet structure and method for manufacturing the same
JP2022041365A (ja) * 2020-09-01 2022-03-11 キオクシア株式会社 半導体記憶装置
CN117936507A (zh) * 2020-09-02 2024-04-26 长江存储科技有限责任公司 用于Xtacking架构的焊盘引出结构
EP4150671A4 (en) * 2020-12-24 2024-02-21 Yangtze Memory Tech Co Ltd CONTACT PADS OF THREE-DIMENSIONAL MEMORY DEVICE AND METHOD OF MANUFACTURING THEREOF
CN114695268A (zh) * 2020-12-30 2022-07-01 长鑫存储技术有限公司 存储器及其制作方法
EP4044232A4 (en) * 2020-12-30 2023-06-28 Changxin Memory Technologies, Inc. Memory and manufacturing method therefor
EP4071593A4 (en) * 2021-02-26 2023-08-23 Beijing Vcore Technology Co.,Ltd. SEDRAM-BASED STACKED CACHE MEMORY SYSTEM, AND APPARATUS AND CONTROL METHOD THEREOF
CN112558889B (zh) * 2021-02-26 2021-05-28 北京微核芯科技有限公司 一种基于SEDRAM的堆叠式Cache系统、控制方法和Cache装置
CN113053900B (zh) * 2021-03-22 2023-01-20 长鑫存储技术有限公司 半导体结构及其制造方法
US11862628B2 (en) * 2021-05-20 2024-01-02 Micron Technology, Inc. Transistor configurations for multi-deck memory devices
CN116018889A (zh) * 2021-06-30 2023-04-25 长江存储科技有限责任公司 三维存储器装置及其形成方法
CN115867970A (zh) * 2021-06-30 2023-03-28 长江存储科技有限责任公司 三维存储器装置及其形成方法
US11776925B2 (en) 2021-06-30 2023-10-03 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
US11785764B2 (en) 2021-06-30 2023-10-10 Micron Technology, Inc. Methods of forming microelectronic devices
WO2023272638A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
US11810838B2 (en) * 2021-06-30 2023-11-07 Micron Technology, Inc. Microelectronic devices, and related electronic systems and methods of forming microelectronic devices
US11837594B2 (en) 2021-06-30 2023-12-05 Micron Technology, Inc. Microelectronic devices and electronic systems
US11996377B2 (en) 2021-06-30 2024-05-28 Micron Technology, Inc. Microelectronic devices and electronic systems
US11930634B2 (en) 2021-06-30 2024-03-12 Micron Technology, Inc. Methods of forming microelectronic devices
WO2023272614A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
US11842990B2 (en) 2021-06-30 2023-12-12 Micron Technology, Inc. Microelectronic devices and electronic systems
KR20230030344A (ko) 2021-08-25 2023-03-06 삼성전자주식회사 웨이퍼-투-웨이퍼 본딩을 이용하는 3차원 스토리지 장치
US11751383B2 (en) 2021-08-31 2023-09-05 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
CN113782538B (zh) * 2021-09-07 2023-12-26 长江存储科技有限责任公司 三维存储器及其制备方法
TWI775627B (zh) * 2021-09-29 2022-08-21 鴻海精密工業股份有限公司 記憶體晶片及記憶體裝置
CN114097081A (zh) * 2021-10-13 2022-02-25 长江存储科技有限责任公司 三维存储器器件及其形成方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080191312A1 (en) * 2003-06-24 2008-08-14 Oh Choonsik Semiconductor circuit
US20150340366A1 (en) * 2014-05-21 2015-11-26 Joon-Sung LIM Semiconductor devices including a peripheral circuit region and first and second memory regions, and related programming methods
JP2016062901A (ja) * 2014-09-12 2016-04-25 株式会社東芝 半導体記憶装置及びその製造方法
JP2018148071A (ja) * 2017-03-07 2018-09-20 東芝メモリ株式会社 記憶装置
CN109155301A (zh) * 2018-08-13 2019-01-04 长江存储科技有限责任公司 具有帽盖层的键合触点及其形成方法
US20190043868A1 (en) * 2018-06-18 2019-02-07 Intel Corporation Three-dimensional (3d) memory with control circuitry and array in separately processed and bonded wafers
US20190081069A1 (en) * 2017-08-21 2019-03-14 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
US20190088589A1 (en) * 2017-09-15 2019-03-21 Yangtze Memory Technologies Co., Ltd. Three-Dimensional Memory Devices and Methods for Forming the Same

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5716881A (en) * 1996-03-28 1998-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process to fabricate stacked capacitor DRAM and low power thin film transistor SRAM devices on a single semiconductor chip
JP2002251884A (ja) * 2001-02-21 2002-09-06 Toshiba Corp 半導体記憶装置及びそのシステム装置
US7694196B2 (en) * 2007-11-20 2010-04-06 Qimonda North America Corp. Self-diagnostic scheme for detecting errors
TWI787503B (zh) 2010-02-16 2022-12-21 凡 歐貝克 製造3d半導體晶圓的方法
JP5927017B2 (ja) * 2012-04-20 2016-05-25 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US9606730B2 (en) * 2012-05-04 2017-03-28 Samsung Electronics Co., Ltd. System and method including three dimensional nonvolatile memory device and random access memory
US9208883B2 (en) * 2013-08-23 2015-12-08 Sandisk Technologies Inc. Three-dimensional NAND non-volatile memory devices with buried word line selectors
CN104576646B (zh) * 2013-10-11 2017-09-05 苏州东微半导体有限公司 一种集成电路芯片及其制造方法
TWI533303B (zh) * 2014-03-07 2016-05-11 Toshiba Kk Nonvolatile memory and memory systems
US10008265B2 (en) * 2014-09-06 2018-06-26 NEO Semiconductor, Inc. Method and apparatus for providing three-dimensional integrated nonvolatile memory (NVM) and dynamic random access memory (DRAM) memory device
US20180374864A1 (en) * 2014-09-12 2018-12-27 Toshiba Memory Corporation Semiconductor memory device
US10672743B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D Compute circuit with high density z-axis interconnects
WO2018071143A2 (en) 2016-10-10 2018-04-19 Monolithic 3D Inc. 3d semiconductor device and structure
US10181455B2 (en) * 2017-01-17 2019-01-15 Apple Inc. 3D thin profile pre-stacking architecture using reconstitution method
KR20180128647A (ko) * 2017-05-24 2018-12-04 삼성전자주식회사 광학 측정 방법 및 장치, 및 이를 이용한 반도체 장치의 제조 방법
CN107658315B (zh) * 2017-08-21 2019-05-14 长江存储科技有限责任公司 半导体装置及其制备方法
KR102309462B1 (ko) * 2018-06-28 2021-10-06 양쯔 메모리 테크놀로지스 씨오., 엘티디. 차폐층을 가진 3차원 메모리 장치 및 3차원 메모리 장치를 형성하기 위한 방법
CN109148498B (zh) * 2018-08-14 2021-06-15 武汉新芯集成电路制造有限公司 一种高存储容量的三维键合传感器的结构及其制造方法
CN109411473A (zh) * 2018-11-05 2019-03-01 长江存储科技有限责任公司 一种dram存储芯片及其制造方法
CN109545764A (zh) * 2018-11-14 2019-03-29 长江存储科技有限责任公司 三维存储器及其制造方法
US10957705B2 (en) * 2018-12-24 2021-03-23 Sandisk Technologies Llc Three-dimensional memory devices having a multi-stack bonded structure using a logic die and multiple three-dimensional memory dies and method of making the same
KR20210083328A (ko) * 2019-02-11 2021-07-06 양쯔 메모리 테크놀로지스 씨오., 엘티디. 확산 불가능한 전도성 재료로 제조된 본딩 컨택을 갖는 본딩된 반도체 구조 및 이를 형성하기 위한 방법
JP7331119B2 (ja) * 2019-04-15 2023-08-22 長江存儲科技有限責任公司 複数の機能性チップを伴う三次元nandメモリデバイスの集積
JP7328344B2 (ja) * 2019-04-30 2023-08-16 長江存儲科技有限責任公司 三次元メモリデバイス
US11270963B2 (en) * 2020-01-14 2022-03-08 Sandisk Technologies Llc Bonding pads including interfacial electromigration barrier layers and methods of making the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080191312A1 (en) * 2003-06-24 2008-08-14 Oh Choonsik Semiconductor circuit
US20150340366A1 (en) * 2014-05-21 2015-11-26 Joon-Sung LIM Semiconductor devices including a peripheral circuit region and first and second memory regions, and related programming methods
JP2016062901A (ja) * 2014-09-12 2016-04-25 株式会社東芝 半導体記憶装置及びその製造方法
JP2018148071A (ja) * 2017-03-07 2018-09-20 東芝メモリ株式会社 記憶装置
US20190081069A1 (en) * 2017-08-21 2019-03-14 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
US20190088589A1 (en) * 2017-09-15 2019-03-21 Yangtze Memory Technologies Co., Ltd. Three-Dimensional Memory Devices and Methods for Forming the Same
US20190043868A1 (en) * 2018-06-18 2019-02-07 Intel Corporation Three-dimensional (3d) memory with control circuitry and array in separately processed and bonded wafers
CN109155301A (zh) * 2018-08-13 2019-01-04 长江存储科技有限责任公司 具有帽盖层的键合触点及其形成方法

Also Published As

Publication number Publication date
KR20210119509A (ko) 2021-10-05
TW202042376A (zh) 2020-11-16
EP3891799A1 (en) 2021-10-13
EP3891799A4 (en) 2022-07-27
US11551753B2 (en) 2023-01-10
WO2020220280A1 (en) 2020-11-05
CN110249427A (zh) 2019-09-17
JP7328344B2 (ja) 2023-08-16
TWI784180B (zh) 2022-11-21
US20210210142A1 (en) 2021-07-08
US10984862B2 (en) 2021-04-20
US20200350014A1 (en) 2020-11-05

Similar Documents

Publication Publication Date Title
JP7328344B2 (ja) 三次元メモリデバイス
US11056454B2 (en) Stacked three-dimensional heterogeneous memory devices and methods for forming the same
JP7427022B2 (ja) 3次元相変化メモリを伴う3次元メモリデバイス
JP7209857B2 (ja) スタックされた3次元異種メモリデバイス、および、それを形成するための方法
JP7323635B2 (ja) 3次元メモリデバイス、3次元メモリデバイスを形成するための方法および3次元メモリデバイスを動作させるための方法
JP7330357B2 (ja) 水素ブロッキング層を有する3次元メモリデバイスおよびその製作方法
WO2020220555A1 (en) Bonded semiconductor devices having processor and nand flash memory and methods for forming the same
US11367729B2 (en) Bonded semiconductor devices having processor and NAND flash memory and methods for forming the same
JP7311615B2 (ja) プロセッサおよびnandフラッシュメモリを有する接合半導体デバイスならびにそれを形成する方法
US20220028829A1 (en) Unified semiconductor devices having processor and heterogeneous memories and methods for forming the same
JP7302008B2 (ja) スタティックランダムアクセスメモリを有する3次元メモリデバイスのデータバッファリング動作
JP7407203B2 (ja) スタティックランダムアクセスメモリを有する3次元メモリデバイスのキャッシュプログラム動作

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210826

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210826

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220823

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221024

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230421

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230704

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230803

R150 Certificate of patent or registration of utility model

Ref document number: 7328344

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150