US11551753B2 - Three-dimensional memory device with embedded dynamic random-access memory - Google Patents

Three-dimensional memory device with embedded dynamic random-access memory Download PDF

Info

Publication number
US11551753B2
US11551753B2 US17/207,258 US202117207258A US11551753B2 US 11551753 B2 US11551753 B2 US 11551753B2 US 202117207258 A US202117207258 A US 202117207258A US 11551753 B2 US11551753 B2 US 11551753B2
Authority
US
United States
Prior art keywords
layer
bonding
semiconductor structure
array
memory device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US17/207,258
Other versions
US20210210142A1 (en
Inventor
Jun Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Priority to US17/207,258 priority Critical patent/US11551753B2/en
Assigned to YANGTZE MEMORY TECHNOLOGIES CO., LTD. reassignment YANGTZE MEMORY TECHNOLOGIES CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIU, JUN
Publication of US20210210142A1 publication Critical patent/US20210210142A1/en
Application granted granted Critical
Publication of US11551753B2 publication Critical patent/US11551753B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C14/00Digital stores characterised by arrangements of cells having volatile and non-volatile storage properties for back-up when the power is down
    • G11C14/0009Digital stores characterised by arrangements of cells having volatile and non-volatile storage properties for back-up when the power is down in which the volatile element is a DRAM cell
    • G11C14/0018Digital stores characterised by arrangements of cells having volatile and non-volatile storage properties for back-up when the power is down in which the volatile element is a DRAM cell whereby the nonvolatile element is an EEPROM element, e.g. a floating gate or metal-nitride-oxide-silicon [MNOS] transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/005Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor comprising combined but independently operative RAM-ROM, RAM-PROM, RAM-EPROM cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5621Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using charge storage in a floating gate
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5678Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using amorphous/crystalline phase transition storage elements
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0004Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising amorphous/crystalline phase transition cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • H01L27/10808
    • H01L27/10847
    • H01L27/10852
    • H01L27/10873
    • H01L27/10897
    • H01L27/11526
    • H01L27/11556
    • H01L27/1157
    • H01L27/11573
    • H01L27/11578
    • H01L27/11582
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73215Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8036Bonding interfaces of the semiconductor or solid state body
    • H01L2224/80379Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06565Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having the same size and there being no auxiliary carrier between the devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Definitions

  • Embodiments of the present disclosure relate to three-dimensional (3D) memory devices and fabrication methods thereof.
  • Planar memory cells are scaled to smaller sizes by improving process technology, circuit design, programming algorithm, and fabrication process.
  • feature sizes of the memory cells approach a lower limit
  • planar process and fabrication techniques become challenging and costly.
  • memory density for planar memory cells approaches an upper limit.
  • a 3D memory architecture can address the density limitation in planar memory cells.
  • the 3D memory architecture includes a memory array and peripheral devices for controlling signals to and from the memory array.
  • Embodiments of 3D memory devices with an embedded dynamic random-access memory (DRAM) and fabrication methods thereof are disclosed herein.
  • DRAM embedded dynamic random-access memory
  • a 3D memory device in one example, includes a first semiconductor structure including a peripheral circuit, an array of embedded DRAM cells, and a first bonding layer including a plurality of first bonding contacts.
  • the 3D memory device also further includes a second semiconductor structure including an array of 3D NAND memory strings and a second bonding layer including a plurality of second bonding contacts.
  • the 3D memory device further includes a bonding interface between the first bonding layer and the second bonding layer. The first bonding contacts are in contact with the second bonding contacts at the bonding interface.
  • a method for forming a 3D memory device is disclosed.
  • a first semiconductor structure is formed.
  • the first semiconductor structure includes a peripheral circuit, an array of embedded DRAM cells, and a first bonding layer including a plurality of first bonding contacts.
  • a second semiconductor structure is formed.
  • the second semiconductor structure includes an array of 3D NAND memory strings and a second bonding layer including a plurality of second bonding contacts.
  • the first semiconductor structure and the second semiconductor structure are bonded in a face-to-face manner, such that the first bonding contacts are in contact with the second bonding contacts at a bonding interface.
  • a method for operating a 3D memory device includes an input/output circuit, an array of embedded DRAM cells, and an array of 3D NAND memory strings in a same chip. Data is transferred through the input/output circuit to the array of embedded DRAM cells. The data is buffered in the array of embedded DRAM cells. The data is stored in the array of 3D NAND memory strings from the array of embedded DRAM cells.
  • FIG. 1 A illustrates a schematic view of a cross-section of an exemplary 3D memory device with an embedded DRAM, according to some embodiments.
  • FIG. 1 B illustrates a schematic view of a cross-section of another exemplary 3D memory device with an embedded DRAM, according to some embodiments.
  • FIG. 2 illustrates a schematic plan view of an exemplary semiconductor structure having a peripheral circuit and an embedded DRAM, according to some embodiments.
  • FIG. 3 illustrates a cross-section of an exemplary 3D memory device with an embedded DRAM, according to some embodiments.
  • FIG. 4 illustrates a cross-section of another exemplary 3D memory device with an embedded DRAM, according to some embodiments.
  • FIGS. 5 A- 5 C illustrate a fabrication process for forming an exemplary semiconductor structure having a peripheral circuit and an embedded DRAM, according to some embodiments.
  • FIGS. 6 A and 6 B illustrate a fabrication process for forming an exemplary semiconductor structure having 3D NAND memory strings, according to some embodiments.
  • FIGS. 7 A and 7 B illustrate a fabrication process for forming an exemplary 3D memory device with an embedded DRAM, according to some embodiments.
  • FIG. 8 illustrates an exemplary operation of a 3D memory device with an embedded DRAM, according to some embodiments.
  • FIG. 9 is a flowchart of an exemplary method for forming a 3D memory device with an embedded DRAM, according to some embodiments.
  • FIG. 10 is a flowchart of an exemplary method for operating a 3D memory device with an embedded DRAM, according to some embodiments.
  • references in the specification to “one embodiment,” “an embodiment,” “an example embodiment,” “some embodiments,” etc. indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases do not necessarily refer to the same embodiment. Further, when a particular feature, structure or characteristic is described in connection with an embodiment, it would be within the knowledge of a person skilled in the pertinent art to effect such feature, structure or characteristic in connection with other embodiments whether or not explicitly described.
  • terminology may be understood at least in part from usage in context.
  • the term “one or more” as used herein, depending at least in part upon context may be used to describe any feature, structure, or characteristic in a singular sense or may be used to describe combinations of features, structures or characteristics in a plural sense.
  • terms, such as “a,” “an,” or “the,” again, may be understood to convey a singular usage or to convey a plural usage, depending at least in part upon context.
  • the term “based on” may be understood as not necessarily intended to convey an exclusive set of factors and may, instead, allow for existence of additional factors not necessarily expressly described, again, depending at least in part on context.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper,” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • the term “substrate” refers to a material onto which subsequent material layers are added.
  • the substrate itself can be patterned. Materials added on top of the substrate can be patterned or can remain unpatterned.
  • the substrate can include a wide array of semiconductor materials, such as silicon, germanium, gallium arsenide, indium phosphide, etc.
  • the substrate can be made from an electrically non-conductive material, such as a glass, a plastic, or a sapphire wafer.
  • a layer refers to a material portion including a region with a thickness.
  • a layer can extend over the entirety of an underlying or overlying structure or may have an extent less than the extent of an underlying or overlying structure. Further, a layer can be a region of a homogeneous or inhomogeneous continuous structure that has a thickness less than the thickness of the continuous structure. For example, a layer can be located between any pair of horizontal planes between, or at, a top surface and a bottom surface of the continuous structure. A layer can extend horizontally, vertically, and/or along a tapered surface.
  • a substrate can be a layer, can include one or more layers therein, and/or can have one or more layer thereupon, thereabove, and/or therebelow.
  • a layer can include multiple layers.
  • an interconnect layer can include one or more conductor and contact layers (in which interconnect lines and/or via contacts are formed) and one or more dielectric layers.
  • the term “nominal/nominally” refers to a desired, or target, value of a characteristic or parameter for a component or a process operation, set during the design phase of a product or a process, together with a range of values above and/or below the desired value.
  • the range of values can be due to slight variations in manufacturing processes or tolerances.
  • the term “about” indicates the value of a given quantity that can vary based on a particular technology node associated with the subject semiconductor device. Based on the particular technology node, the term “about” can indicate a value of a given quantity that varies within, for example, 10-30% of the value (e.g., ⁇ 10%, ⁇ 20%, or ⁇ 30% of the value).
  • 3D memory device refers to a semiconductor device with vertically oriented strings of memory cell transistors (referred to herein as “memory strings,” such as NAND memory strings) on a laterally-oriented substrate so that the memory strings extend in the vertical direction with respect to the substrate.
  • memory strings such as NAND memory strings
  • vertical/vertically means nominally perpendicular to the lateral surface of a substrate.
  • the periphery circuits that are formed outside of memory array devices on the same plane can occupy a large area of the device chip, thereby leading to poor array efficiency, large die size, and high cost. Also, the thermal budget associated with processing the memory array device limits the peripheral circuit performance requirement, making it difficult to achieve high input/output (I/O) speed of the 3D memory device. Moreover, for 3D NAND memory devices, the NAND memory cell program, erase, and read speeds are intrinsically slow compared with other types of memory devices, such as DRAM and static RAM (SRAM).
  • DRAM dynamic RAM
  • Various embodiments in accordance with the present disclosure provide a 3D memory device having an on-chip embedded DRAM with improved I/O speed, throughput, and memory density.
  • the peripheral circuits e.g., control and sensing circuits
  • the peripheral circuits e.g., control and sensing circuits
  • Embedded DRAM cells can be formed on the same substrate as the peripheral circuits to take advantages of empty wafer space and serve as high-speed memory buffer of the 3D NAND memory.
  • 3D NAND memory can be formed on another substrate and bonded to the substrate on which the peripheral circuits and embedded DRAM cells are formed. As a result, memory array efficiency is increased and die size and bit cost are reduced, thereby greatly boosting the memory device performance.
  • the I/O speed and throughput of the 3D memory devices can match the speed and throughput of DRAM and peripheral logic devices.
  • high-speed volatile memory e.g., DRAM
  • high density/capacity non-volatile memory e.g., 3D NAND memory
  • the 3D memory devices with the embedded DRAM disclosed herein can enable an instant-on feature on mobile devices or computers because of its high-speed non-volatile data storage capability.
  • FIG. 1 A illustrates a schematic view of a cross-section of an exemplary 3D memory device 100 with an embedded DRAM, according to some embodiments.
  • 3D memory device 100 represents an example of a non-monolithic 3D memory device.
  • the term “non-monolithic” means that the components of 3D memory device 100 (e.g., peripheral circuit/embedded DRAM and 3D NAND memory) can be formed separately on different substrates and then joined to form a 3D memory device.
  • 3D memory device 100 can include a first semiconductor structure 102 including peripheral circuits and an array of embedded DRAM cells.
  • peripheral circuits and the embedded DRAM cell array can be implemented with advanced logic processes (e.g., technology nodes of 90 nm, 80 nm, 65 nm, 55 nm, 45 nm, 40 nm, 32 nm, 28 nm, 22 nm, 20 nm, 16 nm, 14 nm, 10 nm, 7 nm, 5 nm, 3 nm, etc.) to achieve high speed.
  • the peripheral circuits and embedded DRAM cell array in first semiconductor structure are using complementary metal-oxide-semiconductor (CMOS) technology.
  • CMOS complementary metal-oxide-semiconductor
  • the peripheral circuits include any suitable digital, analog, and/or mixed-signal peripheral circuits used for facilitating the operation of 3D memory device 100 .
  • the peripheral circuits can include one or more of a page buffer, a decoder (e.g., a row decoder and a column decoder), a sense amplifier, a driver, a charge pump, a current or voltage reference, or any active or passive components of the circuits (e.g., transistors, diodes, resistors, or capacitors).
  • An embedded DRAM is a DRAM integrated on the same die of logic circuits (e.g., the peripheral circuits), which allows wider bus and higher operation speed.
  • each embedded DRAM cell includes a capacitor for string a bit of data as a positive of negative electrical charge as well as one or more transistors that control access to it.
  • each embedded DRAM cell is a one-transistor, one-capacitor (1T1C) cell.
  • 3D memory device 100 can also include a second semiconductor structure 104 including an array of 3D NAND memory strings. That is, second semiconductor structure 104 can be a NAND Flash memory device in which memory cells are provided in the form of an array of NAND memory strings.
  • second semiconductor structure 104 can be a NAND Flash memory device in which memory cells are provided in the form of an array of NAND memory strings.
  • a 3D NAND memory string typically consists of 32 to 256 NAND memory cells.
  • 3D NAND memory strings can be organized into pages which are then organized into blocks in which each 3D NAND memory string is connected to a separate line called a bit line (BL). All cells with the same position in the 3D NAND memory string can be connected through the control gates by a word line (WL).
  • BL bit line
  • a plane contains a certain number of blocks that are connected through the same bit line.
  • Second semiconductor structure 104 can include one or more planes, and the peripheral circuits that are needed to perform all the read/write/erase operations can be included in first semiconductor structure 102 .
  • 3D memory device 100 further includes a bonding interface 106 vertically between first semiconductor structure 102 and second semiconductor structure 104 .
  • first and second semiconductor structures 102 and 104 can be fabricated separately (and in parallel in some embodiments) such that the thermal budget of fabricating one of first and second semiconductor structures 102 and 104 does not limit the processes of fabricating another one of first and second semiconductor structures 102 and 104 .
  • interconnects e.g., bonding contacts via hybrid bonding
  • bonding interface 106 a large number of interconnects (e.g., bonding contacts via hybrid bonding) can be formed through bonding interface 106 to make direct, short electrical connections between first semiconductor structure 102 and second semiconductor structure 104 , as opposed to the long-distance chip-to-chip data bus on the circuit board, thereby eliminating chip interface delay and achieving high-speed I/O throughput with reduced power consumption.
  • Data transfer between the array of 3D NAND memory strings in second semiconductor structure 104 and the array of embedded DRAM cells in first semiconductor structure 102 can be performed through the interconnects (e.g., bonding contacts via hybrid bonding) across bonding interface 106 .
  • the interconnects e.g., bonding contacts via hybrid bonding
  • FIG. 1 B illustrates a schematic view of a cross-section of another exemplary 3D memory device 101 with an embedded DRAM, according to some embodiments.
  • second semiconductor structure 104 including the array of 3D NAND memory strings is above first semiconductor structure 102 including the peripheral circuits and the array of embedded DRAM cells
  • first semiconductor structure 102 including the peripheral circuits and the array of embedded DRAM cells is above second semiconductor structure 104 including the array of 3D NAND memory strings.
  • bonding interface 106 is formed vertically between first and second semiconductor structures 102 and 104 in 3D memory device 101 as well as first and second semiconductor structures 102 and 104 are joined vertically through bonding (e.g., hybrid bonding) according to some embodiments.
  • bonding e.g., hybrid bonding
  • Data transfer between the array of 3D NAND memory strings in second semiconductor structure 104 and the array of embedded DRAM cells in first semiconductor structure 102 can be performed through the interconnects (e.g., bonding contacts via hybrid bonding) across bonding interface 106 .
  • FIG. 2 illustrates a schematic plan view of an exemplary semiconductor structure 200 having a peripheral circuit and an embedded DRAM, according to some embodiments.
  • Semiconductor structure 200 may be one example of first semiconductor structure 102 .
  • Semiconductor structure 200 can include peripheral circuits for controlling and sensing a 3D NAND memory, including word line drivers 202 , page buffers 204 , and any other suitable circuits.
  • Semiconductor structure 200 can further include embedded DRAM 206 on the same die as the peripheral circuits and fabricated using the same logic process as the peripheral circuits.
  • peripheral circuits e.g., word line drivers 202 , page buffers 204
  • embedded DRAM 206 may be formed outside of the peripheral circuits (e.g., word line drivers 202 , page buffers 204 ). It is understood that the layout of semiconductor structure 200 is not limited to the exemplary layout in FIG. 2 .
  • the peripheral circuit e.g., word line drivers 202 , page buffers 204
  • embedded DRAM 206 e.g., the array of embedded DRAM cells
  • the peripheral circuit e.g., word line drivers 202 , page buffers 204
  • embedded DRAM 206 e.g., the array of embedded DRAM cells
  • the peripheral circuit e.g., word line drivers 202 , page buffers 204
  • FIG. 3 illustrates a cross-section of an exemplary 3D memory device 300 with an embedded DRAM, according to some embodiments.
  • 3D memory device 300 is a non-monolithic 3D memory device including a first semiconductor structure 302 and a second semiconductor structure 304 stacked over first semiconductor structure 302 .
  • First and second semiconductor structures 302 and 304 are joined at a bonding interface 306 therebetween. As shown in FIG.
  • first semiconductor structure 302 can include a substrate 308 , which can include silicon (e.g., single crystalline silicon), silicon germanium (SiGe), gallium arsenide (GaAs), germanium (Ge), silicon on insulator (SOI), or any other suitable materials.
  • silicon e.g., single crystalline silicon
  • SiGe silicon germanium
  • GaAs gallium arsenide
  • Ge germanium
  • SOI silicon on insulator
  • First semiconductor structure 302 of 3D memory device 300 can include a device layer 310 above substrate 308 . It is noted that x and y axes are added in FIG. 3 to further illustrate the spatial relationship of the components in 3D memory device 300 .
  • Substrate 308 includes two lateral surfaces (e.g., a top surface and a bottom surface) extending laterally in the x-direction (the lateral direction or width direction).
  • one component e.g., a layer or a device
  • another component e.g., a layer or a device
  • the substrate of the semiconductor device e.g., substrate 308
  • the y-direction the vertical direction or thickness direction
  • device layer 310 includes a peripheral circuit 312 on substrate 308 and an array of embedded DRAM cells 314 on substrate 308 and outside of peripheral circuit 312 .
  • peripheral circuit 312 includes a plurality of peripheral transistors 316 forming any suitable digital, analog, and/or mixed-signal peripheral circuits used for facilitating the operation of 3D memory device 300 including, but not limited to, a page buffer, a decoder (e.g., a row decoder and a column decoder), a sense amplifier, a driver, a charge pump, a current or voltage reference.
  • a decoder e.g., a row decoder and a column decoder
  • sense amplifier e.g., a driver, a charge pump, a current or voltage reference.
  • Peripheral transistors 316 can be formed “on” substrate 308 , in which the entirety or part of peripheral transistors 316 are formed in substrate 308 (e.g., below the top surface of substrate 308 ) and/or directly on substrate 308 . Isolation regions (e.g., shallow trench isolations (STIs)) and doped regions (e.g., source regions and drain regions of peripheral transistors 316 ) can be formed in substrate 308 as well.
  • Isolation regions e.g., shallow trench isolations (STIs)
  • doped regions e.g., source regions and drain regions of peripheral transistors 316
  • each embedded DRAM cell 314 includes a DRAM selection transistor 318 and a capacitor 320 .
  • Embedded DRAM cell 314 can be a 1T1C cell consisting of one transistor and one capacitor. It is understood that embedded DRAM cell 314 may be of any suitable configuration, such as 2T1C cell, 3T1C cell, etc.
  • DRAM selection transistors 318 are formed “on” substrate 308 , in which the entirety or part of DRAM selection transistors 318 are formed in substrate 308 (e.g., below the top surface of substrate 308 ) and/or directly on substrate 308 .
  • Isolation regions e.g., shallow trench isolations (STIs)
  • doped regions e.g., source regions and drain regions of DRAM selection transistors 318
  • DRAM selection transistors 318 and peripheral transistors 316 can be formed in different regions on the same plane, e.g., on substrate 308 . That is, DRAM selection transistors 318 can be formed outside of the region in which peripheral circuit 312 is formed on substrate 308 .
  • capacitors 320 are formed above DRAM selection transistors 318 . Each capacitor 320 includes two electrodes, one of which is electrically connected to one node of respective DRAM selection transistor 318 , according to some embodiments.
  • each DRAM selection transistor 318 is electrical connected to a bit line 319 of embedded DRAM, according to some embodiments.
  • Another electrode of each capacitor 320 can be electrically connected to a common plate 321 , e.g., a common ground.
  • capacitor 320 may be a planar capacitor, a stack capacitor, a multi-fins capacitor, a cylinder capacitor, a trench capacitor, or a substrate-plate capacitor.
  • first semiconductor structure 302 of 3D memory device 300 further includes an interconnect layer 322 above device layer 310 to transfer electrical signals to and from peripheral circuit 312 and array of embedded DRAM cells 314 .
  • Interconnect layer 322 can include a plurality of interconnects (also referred to herein as “contacts”), including lateral interconnect lines and vertical interconnect access (via) contacts.
  • interconnects can broadly include any suitable types of interconnects, such as middle-end-of-line (MEOL) interconnects and back-end-of-line (BEOL) interconnects.
  • Interconnect layer 322 can further include one or more interlayer dielectric (ILD) layers (also known as “intermetal dielectric (IMD) layers”) in which the interconnect lines and via contacts can form. That is, interconnect layer 322 can include interconnect lines and via contacts in multiple ILD layers.
  • the interconnect lines and via contacts in interconnect layer 322 can include conductive materials including, but not limited to, tungsten (W), cobalt (Co), copper (Cu), aluminum (Al), silicides, or any combination thereof.
  • the ILD layers in interconnect layer 322 can include dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low dielectric constant (low-k) dielectrics, or any combination thereof.
  • first semiconductor structure 302 of 3D memory device 300 can further include a bonding layer 324 at bonding interface 306 and above interconnect layer 322 and device layer 310 (including peripheral circuit 312 and array of embedded DRAM cells 314 ).
  • Bonding layer 324 can include a plurality of bonding contacts 326 and dielectrics electrically isolating bonding contacts 326 .
  • Bonding contacts 326 can include conductive materials including, but not limited to, W, Co, Cu, Al, silicides, or any combination thereof.
  • the remaining area of bonding layer 324 can be formed with dielectrics including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k dielectrics, or any combination thereof. Bonding contacts 326 and surrounding dielectrics in bonding layer 324 can be used for hybrid bonding.
  • second semiconductor structure 304 of 3D memory device 300 can also include a bonding layer 328 at bonding interface 306 and above bonding layer 324 of first semiconductor structure 302 .
  • Bonding layer 328 can include a plurality of bonding contacts 330 and dielectrics electrically isolating bonding contacts 330 .
  • Bonding contacts 330 can include conductive materials including, but not limited to, W, Co, Cu, Al, silicides, or any combination thereof.
  • the remaining area of bonding layer 328 can be formed with dielectrics including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k dielectrics, or any combination thereof. Bonding contacts 330 and surrounding dielectrics in bonding layer 328 can be used for hybrid bonding.
  • second semiconductor structure 304 can be bonded on top of first semiconductor structure 302 in a face-to-face manner at bonding interface 306 .
  • bonding interface 306 is disposed between bonding layers 324 and 328 as a result of hybrid bonding (also known as “metal/dielectric hybrid bonding”), which is a direct bonding technology (e.g., forming bonding between surfaces without using intermediate layers, such as solder or adhesives) and can obtain metal-metal bonding and dielectric-dielectric bonding simultaneously.
  • bonding interface 306 is the place at which bonding layers 324 and 328 are met and bonded.
  • bonding interface 306 can be a layer with a certain thickness that includes the top surface of bonding layer 324 of first semiconductor structure 302 and the bottom surface of bonding layer 328 of second semiconductor structure 304 .
  • second semiconductor structure 304 of 3D memory device 300 further includes an interconnect layer 332 above bonding layer 328 to transfer electrical signals.
  • Interconnect layer 332 can include a plurality of interconnects, such as MEOL interconnects and BEOL interconnects.
  • Interconnect layer 332 can further include one or more ILD layers in which the interconnect lines and via contacts can form.
  • the interconnect lines and via contacts in interconnect layer 332 can include conductive materials including, but not limited to, W, Co, Cu, Al, silicides, or any combination thereof.
  • the ILD layers in interconnect layer 332 can include dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k dielectrics, or any combination thereof.
  • second semiconductor structure 304 of 3D memory device 300 includes a NAND Flash memory device in which memory cells are provided in the form of an array of 3D NAND memory strings 338 above interconnect layer 332 and bonding layer 328 .
  • Each 3D NAND memory string 338 extends vertically through a plurality of pairs each including a conductor layer 334 and a dielectric layer 336 , according to some embodiments.
  • the stacked and interleaved conductor layers 334 and dielectric layer 336 are also referred to herein as a memory stack 333 . Interleaved conductor layers 334 and dielectric layers 336 in memory stack 333 alternate in the vertical direction, according to some embodiments.
  • each conductor layer 334 can be adjoined by two dielectric layers 336 on both sides, and each dielectric layer 336 can be adjoined by two conductor layers 334 on both sides.
  • Conductor layers 334 can each have the same thickness or different thicknesses.
  • dielectric layers 336 can each have the same thickness or different thicknesses.
  • Conductor layers 334 can include conductor materials including, but not limited to, W, Co, Cu, Al, doped silicon, silicides, or any combination thereof.
  • Dielectric layers 336 can include dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, or any combination thereof.
  • each 3D NAND memory string 338 is a “charge trap” type of NAND memory string including a semiconductor channel 342 and a memory film 340 .
  • semiconductor channel 342 includes silicon, such as amorphous silicon, polysilicon, or single crystalline silicon.
  • memory film 340 is a composite dielectric layer including a tunneling layer, a storage layer (also known as “charge trap/storage layer”), and a blocking layer.
  • Each 3D NAND memory string 338 can have a cylinder shape (e.g., a pillar shape).
  • the tunneling layer can include silicon oxide, silicon oxynitride, or any combination thereof.
  • the storage layer can include silicon nitride, silicon oxynitride, silicon, or any combination thereof.
  • the blocking layer can include silicon oxide, silicon oxynitride, high dielectric constant (high-k) dielectrics, or any combination thereof.
  • the blocking layer can include a composite layer of silicon oxide/silicon oxynitride/silicon oxide (ONO).
  • the blocking layer can include a high-k dielectric layer, such as an aluminum oxide (Al 2 O 3 ), or hafnium oxide (HfO 2 ) or tantalum oxide (Ta 2 O 5 ) layer, and so on.
  • 3D NAND memory strings 338 further include a plurality of control gates (each being part of a word line). Each conductor layer 334 in memory stack 333 can act as a control gate for each memory cell of 3D NAND memory string 338 .
  • each 3D NAND memory string 338 includes two plugs 344 and 346 at a respective end in the vertical direction.
  • Plug 344 can include a semiconductor material, such as single-crystal silicon, that is epitaxially grown from a semiconductor layer 348 .
  • Plug 344 can function as the channel controlled by a source select gate of 3D NAND memory string 338 .
  • Plug 344 can be at the upper end of 3D NAND memory string 338 and in contact with semiconductor channel 342 .
  • Plug 346 can include semiconductor materials (e.g., polysilicon) or conductor materials (e.g., metals).
  • plug 346 includes an opening filled with titanium/titanium nitride (Ti/TiN as a barrier layer) and tungsten (as a conductor).
  • plug 346 can function as an etch stop layer to prevent etching of dielectrics filled in 3D NAND memory string 338 , such as silicon oxide and silicon nitride. In some embodiments, plug 346 functions as the drain of 3D NAND memory string 338 .
  • first semiconductor structure 302 further includes semiconductor layer 348 disposed above memory stack 333 and 3D NAND memory strings 338 .
  • Semiconductor layer 348 can be a thinned substrate on which memory stack 333 and 3D NAND memory strings 338 are formed.
  • semiconductor layer 348 includes single-crystal silicon from which plugs 344 can be epitaxially grown.
  • semiconductor layer 348 can include polysilicon, amorphous silicon, SiGe, GaAs, Ge, or any other suitable materials.
  • Semiconductor layer 348 can also include isolation regions and doped regions (e.g., functioning as an array common source for 3D NAND memory strings 338 , not shown). Isolation regions (not shown) can extend across the entire thickness or part of the thickness of semiconductor layer 348 to electrically isolate the doped regions.
  • a pad oxide layer including silicon oxide is disposed between memory stack 333 and semiconductor layer 348 .
  • 3D NAND memory strings 338 are not limited to the “charge trap” type of 3D NAND memory strings and may be “floating gate” type of 3D NAND memory strings in other embodiments.
  • Semiconductor layer 348 may include polysilicon as the source plate of the “floating gate” type of 3D NAND memory strings.
  • second semiconductor structure 304 of 3D memory device 300 can further include a pad-out interconnect layer 350 above semiconductor layer 348 .
  • Pad-out interconnect layer 350 include interconnects, e.g., contact pads 352 , in one or more ILD layers.
  • the pad-out interconnect layer 350 and interconnect layer 332 can be formed at opposite sides of semiconductor layer 348 .
  • the interconnects in pad-out interconnect layer 350 can transfer electrical signals between 3D memory device 300 and outside circuits, e.g., for pad-out purposes.
  • second semiconductor structure 304 further includes one or more contacts 354 extending through semiconductor layer 348 to electrically connect pad-out interconnect layer 350 and interconnect layers 332 and 322 .
  • array of embedded DRAM cells 314 can be electrically connected to array of 3D NAND memory strings 338 through interconnect layers 322 and 332 as well as bonding contacts 326 and 330 .
  • peripheral circuit 312 , array of embedded DRAM cells 314 , and array of 3D NAND memory strings 338 can be electrically connected to outside circuits through contacts 354 and pad-out interconnect layer 350 .
  • FIG. 4 illustrates a cross-section of another exemplary 3D memory device 400 with an embedded DRAM, according to some embodiments.
  • 3D memory device 400 represents an example of a non-monolithic 3D memory device in which a first semiconductor structure 402 including 3D NAND memory strings and a second semiconductor structure 404 including peripheral circuits and embedded DRAM cells are formed separately and bonded in a face-to-face manner at a bonding interface 406 .
  • first semiconductor structure 302 including peripheral circuits and embedded DRAM cells is below second semiconductor structure 304 including 3D NAND memory strings
  • second semiconductor structure 404 including peripheral circuits and embedded DRAM cells disposed above first semiconductor structure 402 including 3D NAND memory strings. It is understood that the details of similar structures (e.g., materials, fabrication process, functions, etc.) in both 3D memory devices 300 and 400 may not be repeated below.
  • First semiconductor structure 402 of 3D memory device 400 can include a substrate 408 and a memory stack 410 including interleaved conductor layers 412 and dielectric layers 414 above substrate 408 .
  • an array of 3D NAND memory strings 416 each extends vertically through interleaved conductor layers 412 and dielectric layers 414 in memory stack 410 above substrate 408 .
  • Each 3D NAND memory string 416 can include a semiconductor channel 420 and a memory film 418 .
  • Each 3D NAND memory string 416 further includes two plugs 422 and 424 at its lower end and upper end, respectively.
  • 3D NAND memory strings 416 can be “charge trap” type of 3D NAND memory strings or “floating gate” type of 3D NAND memory strings.
  • a pad oxide layer including silicon oxide is disposed between memory stack 410 and substrate 408 .
  • first semiconductor structure 402 of 3D memory device 400 also includes an interconnect layer 426 above memory stack 410 and 3D NAND memory strings 416 to transfer electrical signals to and from 3D NAND memory strings 416 .
  • Interconnect layer 426 can include a plurality of interconnects, including interconnect lines and via contacts.
  • the interconnects in interconnect layer 426 also include local interconnects, such as bit line contacts and word line contacts.
  • first semiconductor structure 402 of 3D memory device 400 further includes a bonding layer 428 at bonding interface 406 and above interconnect layer 426 and memory stack 410 .
  • Bonding layer 428 can include a plurality of bonding contacts 430 and dielectrics surrounding and electrically isolating bonding contacts 430 .
  • second semiconductor structure 404 of 3D memory device 400 includes another bonding layer 432 at bonding interface 406 and above bonding layer 428 .
  • Bonding layer 432 can include a plurality of bonding contacts 434 and dielectrics surrounding and electrically isolating bonding contacts 434 .
  • second semiconductor structure 404 of 3D memory device 400 also includes an interconnect layer 436 above bonding layer 432 to transfer electrical signals.
  • Interconnect layer 436 can include a plurality of interconnects, including interconnect lines and via contacts.
  • Second semiconductor structure 404 of 3D memory device 400 can further include a device layer 438 above interconnect layer 436 and bonding layer 432 .
  • device layer 438 includes a peripheral circuit 442 above interconnect layer 436 and bonding layer 432 and an array of embedded DRAM cells 444 above interconnect layer 436 and bonding layer 432 and outside of peripheral circuit 442 .
  • peripheral circuit 442 includes a plurality of peripheral transistors 446 forming any suitable digital, analog, and/or mixed-signal peripheral circuits used for facilitating the operation of 3D memory device 400 including, but not limited to, a page buffer, a decoder (e.g., a row decoder and a column decoder), a sense amplifier, a driver, a charge pump, a current or voltage reference.
  • Peripheral transistors 446 can be formed “on” a semiconductor layer 440 , in which the entirety or part of peripheral transistors 446 are formed in semiconductor layer 440 and/or directly on semiconductor layer 440 . Isolation regions (e.g., shallow trench isolations (STIs)) and doped regions (e.g., source regions and drain regions of peripheral transistors 446 ) can be formed in semiconductor layer 440 as well.
  • STIs shallow trench isolations
  • each embedded DRAM cell 444 includes a DRAM selection transistor 448 and a capacitor 450 .
  • Embedded DRAM cell 444 can be a 1T1C cell consisting of one transistor and one capacitor. It is understood that embedded DRAM cell 444 may be of any suitable configuration, such as 2T1C cell, 3T1C cell, etc.
  • DRAM selection transistors 448 are formed “on” semiconductor layer 440 , in which the entirety or part of DRAM selection transistors 448 are formed in semiconductor layer 440 and/or directly on semiconductor layer 440 .
  • Isolation regions e.g., shallow trench isolations (STIs)
  • doped regions e.g., source regions and drain regions of DRAM selection transistors 448
  • DRAM selection transistors 448 and peripheral transistors 446 can be formed in different regions on the same plane, e.g., on semiconductor layer 440 . That is, DRAM selection transistors 448 can be formed outside of the region in which peripheral circuit 442 is formed on semiconductor layer 440 .
  • capacitors 450 are disposed below DRAM selection transistors 448 . Each capacitor 450 includes two electrodes, one of which is electrically connected to one node of respective DRAM selection transistor 448 , according to some embodiments.
  • each DRAM selection transistor 448 is electrical connected to a bit line 449 of embedded DRAM, according to some embodiments.
  • Another electrode of each capacitor 450 can be electrically connected to a common plate 451 , e.g., a common ground.
  • capacitor 450 may be a planar capacitor, a stack capacitor, a multi-fins capacitor, a cylinder capacitor, a trench capacitor, or a substrate-plate capacitor.
  • second semiconductor structure 404 further includes semiconductor layer 440 disposed above device layer 438 .
  • Semiconductor layer 440 can be a thinned substrate on which peripheral transistors 446 and DRAM selection transistors 448 are formed.
  • semiconductor layer 440 includes single-crystal silicon.
  • semiconductor layer 440 can include polysilicon, amorphous silicon, SiGe, GaAs, Ge, or any other suitable materials.
  • Semiconductor layer 440 can also include isolation regions and doped regions.
  • second semiconductor structure 404 of 3D memory device 400 can further include a pad-out interconnect layer 452 above semiconductor layer 440 .
  • Pad-out interconnect layer 452 include interconnects, e.g., contact pads 454 , in one or more ILD layers.
  • the interconnects in pad-out interconnect layer 452 can transfer electrical signals between 3D memory device 400 and outside circuits, e.g., for pad-out purposes.
  • second semiconductor structure 404 further includes one or more contacts 456 extending through semiconductor layer 440 to electrically connect pad-out interconnect layer 452 and interconnect layers 436 and 426 .
  • array of embedded DRAM cells 444 can be electrically connected to array of 3D NAND memory strings 416 through interconnect layers 426 and 436 as well as bonding contacts 430 and 434 .
  • peripheral circuit 442 , array of embedded DRAM cells 444 , and array of 3D NAND memory strings 416 can be electrically connected to outside circuits through contacts 456 and pad-out interconnect layer 452 .
  • FIGS. 5 A- 5 C illustrate a fabrication process for forming an exemplary semiconductor structure having a peripheral circuit and an embedded DRAM, according to some embodiments.
  • FIGS. 6 A and 6 B illustrate a fabrication process for forming an exemplary semiconductor structure having 3D NAND memory strings, according to some embodiments.
  • FIGS. 7 A and 7 B illustrate a fabrication process for forming an exemplary 3D memory device with an embedded DRAM, according to some embodiments.
  • FIG. 9 is a flowchart of an exemplary method 900 for forming a 3D memory device with an embedded DRAM, according to some embodiments. Examples of the 3D memory device depicted in FIGS. 5 - 7 and 9 include 3D memory device 300 depicted in FIG. 3 and 3D memory device 400 depicted in FIG.
  • FIGS. 5 - 7 and 9 will be described together. It is understood that the operations shown in method 900 are not exhaustive and that other operations can be performed as well before, after, or between any of the illustrated operations. Further, some of the operations may be performed simultaneously, or in a different order than shown in FIG. 9 .
  • a first semiconductor structure including a peripheral circuit, an array of embedded DRAM cells, and a first bonding layer including a plurality of first bonding contacts is formed.
  • a second semiconductor structure including an array of 3D NAND memory strings and a second bonding layer including a plurality of second bonding contacts is formed.
  • the first semiconductor structure and the second semiconductor structure are bonded in a face-to-face manner, such that the first bonding contacts are in contact with the second bonding contacts at a bonding interface.
  • method 900 starts at operation 902 , in which the peripheral circuit and the array of embedded DRAM cells are formed on a first substrate.
  • the first substrate can be a silicon substrate.
  • a plurality of transistors are formed on the first substrate, and a plurality of capacitors are formed above and in contact with some of the transistors
  • a plurality of transistors are formed on a silicon substrate 502 .
  • Transistors 504 and 506 can be formed by a plurality of processes including, but not limited to, photolithography, dry/wet etch, thin film deposition, thermal growth, implantation, chemical mechanical polishing (CMP), and any other suitable processes.
  • doped regions are formed in silicon substrate 502 by ion implantation and/or thermal diffusion, which function, for example, as source regions and/or drain regions of transistors 504 and 506 .
  • isolation regions e.g., STIs are also formed in silicon substrate 502 by wet/dry etch and thin film deposition.
  • a plurality of capacitors 508 are formed above and in contact with DRAM selection transistors 506 .
  • Each capacitor 508 can be patterned by photography to be aligned with respective DRAM selection transistor 506 to form a 1T1C memory cell, for example, by electrically connecting one electrode of capacitor 508 with one node of respective DRAM selection transistor 506 .
  • bit lines 507 and common plates 509 are formed as well for connecting DRAM selection transistors 506 and capacitors 508 .
  • Capacitors 508 can be formed by a plurality of processes including, but not limited to, photolithography, dry/wet etch, thin film deposition, thermal growth, implantation, CMP, and any other suitable processes.
  • a device layer 510 including a peripheral circuit (having peripheral transistors 504 ) and an array of embedded DRAM cells (each having DRAM selection transistor 506 and capacitor 508 ) is thereby formed.
  • Method 900 proceeds to operation 904 , as illustrated in FIG. 9 , in which a first interconnect layer is formed above the peripheral circuit and the array of embedded DRAM cells.
  • the first interconnect layer can include a first plurality of interconnects in one or more ILD layers.
  • an interconnect layer 512 can be formed above device layer 510 including the peripheral circuit (having peripheral transistors 504 ) and the array of embedded DRAM cells (each having DRAM selection transistor 506 and capacitor 508 ).
  • Interconnect layer 512 can include interconnects of MEOL and/or BEOL in a plurality of ILD layers to make electrical connections with device layer 510 .
  • interconnect layer 512 includes multiple ILD layers and interconnects therein formed in multiple processes.
  • the interconnects in interconnect layers 512 can include conductive materials deposited by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, electroplating, electroless plating, or any combination thereof. Fabrication processes to form the interconnects can also include photolithography, CMP, wet/dry etch, or any other suitable processes.
  • the ILD layers can include dielectric materials deposited by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, or any combination thereof.
  • the ILD layers and interconnects illustrated in FIG. 5 C can be collectively referred to as interconnect layer 512 .
  • Method 900 proceeds to operation 906 , as illustrated in FIG. 9 , in which a first bonding layer is formed above the first interconnect layer.
  • the first bonding layer can include a plurality of first bonding contacts.
  • a bonding layer 514 is formed above interconnect layer 512 .
  • Bonding layer 514 can include a plurality of bonding contacts 516 surrounded by dielectrics.
  • a dielectric layer is deposited on the top surface of interconnect layer 512 by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, or any combination thereof.
  • Bonding contacts 516 then can be formed through the dielectric layer and in contact with the interconnects in interconnect layer 512 by first patterning contact holes through the dielectric layer using patterning process (e.g., photolithography and dry/wet etch of dielectric materials in the dielectric layer).
  • the contact holes can be filled with a conductor (e.g., copper).
  • filling the contact holes includes depositing a barrier layer, an adhesion layer, and/or a seed layer before depositing the conductor.
  • Method 900 proceeds to operation 908 , as illustrated in FIG. 9 , in which a memory stack is formed above a second substrate.
  • the second substrate can be a silicon substrate.
  • interleaved sacrificial layers (not shown) and dielectric layers 608 are formed above a silicon substrate 602 .
  • the interleaved sacrificial layers and dielectric layers 608 can form a dielectric stack (not shown).
  • each sacrificial layer includes a layer of silicon nitride
  • each dielectric layer 608 includes a layer of silicon oxide.
  • the interleaved sacrificial layers and dielectric layers 608 can be formed by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, or any combination thereof.
  • a memory stack 604 can be formed by a gate replacement process, e.g., replacing the sacrificial layers with conductor layers 606 using wet/dry etch of the sacrificial layers selective to dielectric layers 608 and filling the resulting recesses with conductor layers 606 .
  • memory stack 604 can include interleaved conductor layers 606 and dielectric layers 608 .
  • each conductor layer 606 includes a metal layer, such as a layer of tungsten.
  • memory stack 604 may be formed by alternatingly depositing conductor layers (e.g., doped polysilicon layers) and dielectric layers (e.g., silicon oxide layers) without the gate replacement process in other embodiments.
  • a pad oxide layer including silicon oxide is formed between memory stack 604 and silicon substrate 602 .
  • Method 900 proceeds to operation 910 , as illustrated in FIG. 9 , in which the array of 3D NAND memory strings extending vertically through the memory stack are formed.
  • 3D NAND memory strings 610 are formed above silicon substrate 602 , each of which extends vertically through interleaved conductor layers 606 and dielectric layers 608 of memory stack 604 .
  • fabrication processes to form 3D NAND memory string 610 include forming a channel hole through memory stack 604 and into silicon substrate 602 using dry etching/and or wet etching, such as deep reactive-ion etching (DRIE), followed by epitaxially growing a plug 612 in the lower portion of the channel hole from silicon substrate 602 .
  • DRIE deep reactive-ion etching
  • fabrication processes to form 3D NAND memory string 610 also include subsequently filling the channel hole with a plurality of layers, such as a memory film 614 (e.g., a tunneling layer, a storage layer, and a blocking layer) and a semiconductor layer 616 , using thin film deposition processes such as ALD, CVD, PVD, or any combination thereof.
  • fabrication processes to form 3D NAND memory string 610 further include forming another plug 618 in the upper portion of the channel hole by etching a recess at the upper end of 3D NAND memory string 610 , followed by filling the recess with a semiconductor material using thin film deposition processes such as ALD, CVD, PVD, or any combination thereof.
  • Method 900 proceeds to operation 912 , as illustrated in FIG. 9 , in which a second interconnect layer is formed above the array of 3D NAND memory strings.
  • the second interconnect layer can include a second plurality of interconnects in one or more ILD layers.
  • an interconnect layer 620 can be formed above memory stack 604 and array of 3D NAND memory strings 610 .
  • Interconnect layer 620 can include interconnects of MEOL and/or BEOL in a plurality of ILD layers to make electrical connections with 3D NAND memory strings 610 .
  • interconnect layer 620 includes multiple ILD layers and interconnects therein formed in multiple processes.
  • the interconnects in interconnect layers 620 can include conductive materials deposited by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, electroplating, electroless plating, or any combination thereof. Fabrication processes to form the interconnects can also include photolithography, CMP, wet/dry etch, or any other suitable processes.
  • the ILD layers can include dielectric materials deposited by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, or any combination thereof.
  • the ILD layers and interconnects illustrated in FIG. 6 B can be collectively referred to as interconnect layer 620 .
  • Method 900 proceeds to operation 914 , as illustrated in FIG. 9 , in which a second bonding layer is formed above the second interconnect layer.
  • the second bonding layer can include a plurality of second bonding contacts.
  • a bonding layer 622 is formed above interconnect layer 620 .
  • Bonding layer 622 can include a plurality of bonding contacts 624 surrounded by dielectrics.
  • a dielectric layer is deposited on the top surface of interconnect layer 620 by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, or any combination thereof.
  • Bonding contacts 624 then can be formed through the dielectric layer and in contact with the interconnects in interconnect layer 620 by first patterning contact holes through the dielectric layer using patterning process (e.g., photolithography and dry/wet etch of dielectric materials in the dielectric layer).
  • the contact holes can be filled with a conductor (e.g., copper).
  • filling the contact holes includes depositing a barrier layer, an adhesion layer, and/or a seed layer before depositing the conductor.
  • Method 900 proceeds to operation 916 , as illustrated in FIG. 9 , in which the first substrate and the second substrate are bonded in a face-to-face manner, such that the first bonding contacts are in contact with the second bonding contacts at the bonding interface.
  • the bonding can be hybrid bonding.
  • the first substrate on which the peripheral circuit and embedded DRAM cells are formed e.g., the first semiconductor structure
  • the second substrate on which the 3D NAND memory strings are formed e.g., the second semiconductor structure
  • the second substrate on which the 3D NAND memory strings are formed (e.g., the second semiconductor structure) is disposed above the first substrate on which the peripheral circuit and embedded DRAM cells are formed (e.g., the first semiconductor structure) after the bonding.
  • silicon substrate 602 and components formed thereon are flipped upside down.
  • Bonding layer 622 facing down is bonded with bonding layer 514 facing up, i.e., in a face-to-face manner, thereby forming a bonding interface 702 (as shown in FIG. 7 B ).
  • a treatment process e.g., a plasma treatment, a wet treatment, and/or a thermal treatment, is applied to the bonding surfaces prior to the bonding.
  • silicon substrate 502 and components formed thereon can be flipped upside down, and bonding layer 514 facing down can be bonded with bonding layer 622 facing up, i.e., in a face-to-face manner, thereby forming bonding interface 702 .
  • bonding contacts 624 in bonding layer 622 and bonding contacts 516 in bonding layer 514 are aligned and in contact with one another, such that device layer 510 (e.g., the peripheral circuit and embedded DRAM cells therein) can be electrically connected to 3D NAND memory strings 610 .
  • 3D NAND memory strings 610 may be either above or below device layer 510 (e.g., the peripheral circuit and embedded DRAM cells therein). Nevertheless, bonding interface 702 can be formed between 3D NAND memory strings 610 and device layer 510 (e.g., the peripheral circuit and embedded DRAM cells therein) after the bonding as illustrated in FIG. 7 B .
  • Method 900 proceeds to operation 918 , as illustrated in FIG. 9 , in which the first substrate or the second substrate in thinned to form a semiconductor layer.
  • the first substrate of the first semiconductor structure which is above the second substrate of the second semiconductor structure after the bonding, is thinned to form the semiconductor layer.
  • the second substrate of the second semiconductor structure which is above the first substrate of the first semiconductor structure after the bonding, is thinned to form the semiconductor layer.
  • the substrate on top of the bonded 3D memory device e.g., silicon substrate 402 as shown in FIG. 7 A
  • the thinned top substrate can serve as a semiconductor layer 704 , for example, a single-crystal silicon layer.
  • the thickness of the thinned substrate can be between about 200 nm and about 5 ⁇ m, such as between 200 nm and 5 ⁇ m, or between about 150 nm and about 50 ⁇ m, such as between 150 nm and 50 ⁇ m.
  • Silicon substrate 402 can be thinned by processes including, but not limited to, wafer grinding, dry etch, wet etch, CMP, any other suitable processes, or any combination thereof. It is understood that when silicon substrate 502 is the substrate on top of the bonded 3D memory device, another semiconductor layer may be formed by thinning silicon substrate 502 .
  • Method 900 proceeds to operation 920 , as illustrated in FIG. 9 , in which a pad-out interconnect layer is formed above the semiconductor layer.
  • a pad-out interconnect layer 706 is formed above semiconductor layer 704 (the thinned top substrate).
  • Pad-out interconnect layer 705 can include interconnects, such as pad contacts 708 , formed in one or more ILD layers.
  • Pad contacts 708 can include conductive materials including, but not limited to, W, Co, Cu, Al, doped silicon, silicides, or any combination thereof.
  • the ILD layers can include dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k dielectrics, or any combination thereof.
  • contacts 710 are formed extending vertically through semiconductor layer 704 , for example by wet/dry etch followed by depositing conductive materials. Contacts 710 can be in contact with the interconnects in pad-out interconnect layer 706 .
  • FIG. 8 illustrates an exemplary operation of a 3D memory device 800 with embedded DRAM 806 , according to some embodiments.
  • FIG. 10 is a flowchart of an exemplary method 1000 for operating a 3D memory device with an embedded DRAM, according to some embodiments. Examples of the 3D memory device 800 depicted in FIG. 8 include 3D memory device 300 depicted in FIG. 3 and 3D memory device 400 depicted in FIG. 4 . FIGS. 8 and 10 will be described together. It is understood that the operations shown in method 1000 are not exhaustive and that other operations can be performed as well before, after, or between any of the illustrated operations. Further, some of the operations may be performed simultaneously, or in a different order than shown in FIG. 10 . As illustrated in FIG.
  • 3D memory device 800 includes an input/output circuit 804 (e.g., part of its peripheral circuits), embedded DRAM 806 having an array of embedded DRAM cells, and 3D NAND memory 808 having an array of 3D NAND memory strings.
  • Input/output circuit 804 , embedded DRAM 806 , and 3D NAND memory 808 can be formed in the same chip as describe above in detail.
  • method 1000 starts at operation 1002 , in which data is transferred through the input/output circuit to the array of embedded DRAM cells.
  • any suitable type of data generated by a host 802 is transferred to embedded DRAM 806 of 3D memory device 800 through input/output circuit 804 .
  • Host 802 can be any suitable devices that generate the data, such as one or more processors.
  • host 802 includes a central processing unit (CPU), a graphics processor (e.g., graphics processing unit (GPU)), an application processor (AP), a general processor (e.g., APU, accelerated processing unit; GPGPU, general-purpose computing on GPU), or any other suitable processor.
  • CPU central processing unit
  • GPU graphics processing unit
  • AP application processor
  • general processor e.g., APU, accelerated processing unit; GPGPU, general-purpose computing on GPU
  • Input/output circuit 804 can be a high-speed, high-throughput input/output circuit as part of the peripheral circuits.
  • Host 802 and 3D memory device 800 can be part of any suitable apparatus, for example, a virtual reality (VR)/augmented reality (AR) device (e.g., VR headset, etc.), handheld device (e.g., dumb or smart phone, tablet, etc.), wearable device (e.g., eyeglasses, wrist watch, etc.), automobile control station, gaming console, television set, laptop computer, desktop computer, netbook computer, media center, set-top box, global positioning system (GPS), printer, or any other suitable device.
  • VR virtual reality
  • AR augmented reality
  • handheld device e.g., dumb or smart phone, tablet, etc.
  • wearable device e.g., eyeglasses, wrist watch, etc.
  • gaming console gaming console, television set, laptop computer, desktop computer, netbook computer, media center, set-top box, global positioning system (GPS), printer, or any
  • Method 1000 proceeds to operation 1004 , as illustrated in FIG. 10 , in which the data is buffered in the array of embedded DRAM cells.
  • embedded DRAM 806 can work as an integrated high-speed, on-chip buffer of 3D memory device 800 for buffering the data transferred from host 802 through input/output circuit 804 .
  • Method 1000 proceeds to operation 1006 , as illustrated in FIG. 10 , in which the data is stored in the array of 3D NAND memory strings from the array of embedded DRAM cells.
  • 3D NAND memory 808 the data buffered in embedded DRAM 806 can be stored in 3D NAND memory 808 .
  • the data is buffered in embedded DRAM 806 and stored in 3D NAND memory 808 in parallel.
  • Method 1000 proceeds to operation 1008 , as illustrated in FIG. 10 , in which the data is transferred between the array of 3D NAND memory strings and the array of embedded DRAM cells through a plurality of bonding contacts.
  • the transferring is triggered in response to power on or power off of the 3D memory device.
  • data can be transferred between embedded DRAM 806 and 3D NAND memory 808 through direct electrical connections by a plurality of bonding contacts as described above in detail, which have shortened distance, higher throughput, and lower power consumption compared with the conventional on-board chip-to-chip data bus.
  • An instant-on feature of host 802 can be enabled by the data transferred between embedded DRAM 806 and 3D NAND memory 808 .
  • a snapshot of user data and/or operation system data buffered in embedded DRAM 806 is immediately transferred to 3D NAND memory 808 , which can be retained after power off.
  • the snapshot of user data and/or operation system data stored in 3D NAND memory 808 can be immediately transferred back to embedded DRAM 806 to restore the last state of host 802 prior to the power-off.
  • a 3D memory device includes a first semiconductor structure including a peripheral circuit, an array of embedded DRAM cells, and a first bonding layer including a plurality of first bonding contacts.
  • the 3D memory device also further includes a second semiconductor structure including an array of 3D NAND memory strings and a second bonding layer including a plurality of second bonding contacts.
  • the 3D memory device further includes a bonding interface between the first bonding layer and the second bonding layer. The first bonding contacts are in contact with the second bonding contacts at the bonding interface.
  • the first semiconductor structure includes a substrate, the peripheral circuit on the substrate, the array of embedded DRAM cells on the substrate and outside of the peripheral circuit, and the first bonding layer above the peripheral circuit and the array of embedded DRAM cells.
  • the second semiconductor structure includes the second bonding layer above the first bonding layer, a memory stack above the second bonding layer, the array of 3D NAND memory strings extending vertically through the memory stack, and a semiconductor layer above and in contact with the array of 3D NAND memory strings.
  • the 3D memory device further includes a pad-out interconnect layer above the semiconductor layer.
  • the semiconductor layer includes polysilicon. In some embodiments, the semiconductor layer includes single-crystal silicon.
  • the second semiconductor structure includes a substrate, a memory stack above the substrate, the array of 3D NAND memory strings extending vertically through the memory stack, and the second bonding layer above the memory stack and the array of 3D NAND memory strings.
  • the first semiconductor structure includes the first bonding layer above the second bonding layer, the peripheral circuit above the first bonding layer, the array of embedded DRAM cells above the first bonding layer and outside of the peripheral circuit, and a semiconductor layer above and in contact with the peripheral circuit and the array of embedded DRAM cells.
  • the 3D memory device further includes a pad-out interconnect layer above the semiconductor layer.
  • peripheral circuit and the array of embedded DRAM cells are stacked one over another.
  • each embedded DRAM cell includes a transistor and a capacitor.
  • the first semiconductor structure includes a first interconnect layer vertically between the first bonding layer and the array of embedded DRAM cells
  • the second semiconductor structure includes a second interconnect layer vertically between the second bonding layer and the array of 3D NAND memory strings.
  • the array of embedded DRAM cells are electrically connected to the array of 3D NAND memory strings through the first and second interconnect layers and the first and second bonding contacts.
  • a method for forming a 3D memory device is disclosed.
  • a first semiconductor structure is formed.
  • the first semiconductor structure includes a peripheral circuit, an array of embedded DRAM cells, and a first bonding layer including a plurality of first bonding contacts.
  • a second semiconductor structure is formed.
  • the second semiconductor structure includes an array of 3D NAND memory strings and a second bonding layer including a plurality of second bonding contacts.
  • the first semiconductor structure and the second semiconductor structure are bonded in a face-to-face manner, such that the first bonding contacts are in contact with the second bonding contacts at a bonding interface.
  • the peripheral circuit and the array of embedded DRAM cells are formed on a first substrate, a first interconnect layer is formed above the peripheral circuit and the array of embedded DRAM cells, and the first bonding layer is formed above the first interconnect layer.
  • a plurality of transistors are formed on the first substrate, and a plurality of capacitors are formed above and in contact with some of the transistors.
  • a memory stack is formed above a second substrate, the array of 3D NAND memory strings extending vertically through the memory stack are formed, a second interconnect layer is formed above the array of 3D NAND memory strings, and the second bonding layer is formed above the second interconnect layer.
  • the second semiconductor structure is above the first semiconductor structure after the bonding.
  • the second substrate is thinned to form a semiconductor layer after the bonding, and a pad-out interconnect layer is formed above the semiconductor layer.
  • the first semiconductor structure is above the second semiconductor structure after the bonding.
  • the first substrate is thinned to form a semiconductor layer after the bonding, and a pad-out interconnect layer is formed above the semiconductor layer.
  • the bonding includes hybrid bonding.
  • a method for operating a 3D memory device includes an input/output circuit, an array of embedded DRAM cells, and an array of 3D NAND memory strings in a same chip. Data is transferred through the input/output circuit to the array of embedded DRAM cells. The data is buffered in the array of embedded DRAM cells. The data is stored in the array of 3D NAND memory strings from the array of embedded DRAM cells.
  • the data is transferred between the array of 3D NAND memory strings and the array of embedded DRAM cells through a plurality of bonding contacts.
  • the transferring is triggered in response to power on or power off of the 3D memory device.

Abstract

Embodiments of three-dimensional (3D) memory devices with embedded dynamic random-access memory (DRAM) and methods for forming the 3D memory devices are disclosed. In an example, a method for operating a 3D memory device is disclosed. The 3D memory device includes an input/output circuit, an array of embedded DRAM cells, and an array of 3D NAND memory strings in a same chip. Data is transferred through the input/output circuit to the array of embedded DRAM cells. The data is buffered in the array of embedded DRAM cells. The data is stored in the array of 3D NAND memory strings from the array of embedded DRAM cells.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application is a continuation of U.S. application Ser. No. 16/453,980, filed on Jun. 26, 2019, entitled “THREE-DIMENSIONAL MEMORY DEVICE WITH EMBEDDED DYNAMIC RANDOM-ACCESS MEMORY,” which is a continuation of International Application No. PCT/CN2019/085237, filed on Apr. 30, 2019, entitled “THREE-DIMENSIONAL MEMORY DEVICE WITH EMBEDDED DYNAMIC RANDOM-ACCESS MEMORY,” both of which are incorporated herein by reference in their entireties.
BACKGROUND
Embodiments of the present disclosure relate to three-dimensional (3D) memory devices and fabrication methods thereof.
Planar memory cells are scaled to smaller sizes by improving process technology, circuit design, programming algorithm, and fabrication process. However, as feature sizes of the memory cells approach a lower limit, planar process and fabrication techniques become challenging and costly. As a result, memory density for planar memory cells approaches an upper limit.
A 3D memory architecture can address the density limitation in planar memory cells. The 3D memory architecture includes a memory array and peripheral devices for controlling signals to and from the memory array.
SUMMARY
Embodiments of 3D memory devices with an embedded dynamic random-access memory (DRAM) and fabrication methods thereof are disclosed herein.
In one example, a 3D memory device includes a first semiconductor structure including a peripheral circuit, an array of embedded DRAM cells, and a first bonding layer including a plurality of first bonding contacts. The 3D memory device also further includes a second semiconductor structure including an array of 3D NAND memory strings and a second bonding layer including a plurality of second bonding contacts. The 3D memory device further includes a bonding interface between the first bonding layer and the second bonding layer. The first bonding contacts are in contact with the second bonding contacts at the bonding interface.
In another example, a method for forming a 3D memory device is disclosed. A first semiconductor structure is formed. The first semiconductor structure includes a peripheral circuit, an array of embedded DRAM cells, and a first bonding layer including a plurality of first bonding contacts. A second semiconductor structure is formed. The second semiconductor structure includes an array of 3D NAND memory strings and a second bonding layer including a plurality of second bonding contacts. The first semiconductor structure and the second semiconductor structure are bonded in a face-to-face manner, such that the first bonding contacts are in contact with the second bonding contacts at a bonding interface.
In still another example, a method for operating a 3D memory device is disclosed. The 3D memory device includes an input/output circuit, an array of embedded DRAM cells, and an array of 3D NAND memory strings in a same chip. Data is transferred through the input/output circuit to the array of embedded DRAM cells. The data is buffered in the array of embedded DRAM cells. The data is stored in the array of 3D NAND memory strings from the array of embedded DRAM cells.
BRIEF DESCRIPTION OF THE DRAWINGS
The accompanying drawings, which are incorporated herein and form a part of the specification, illustrate embodiments of the present disclosure and, together with the description, further serve to explain the principles of the present disclosure and to enable a person skilled in the pertinent art to make and use the present disclosure.
FIG. 1A illustrates a schematic view of a cross-section of an exemplary 3D memory device with an embedded DRAM, according to some embodiments.
FIG. 1B illustrates a schematic view of a cross-section of another exemplary 3D memory device with an embedded DRAM, according to some embodiments.
FIG. 2 illustrates a schematic plan view of an exemplary semiconductor structure having a peripheral circuit and an embedded DRAM, according to some embodiments.
FIG. 3 illustrates a cross-section of an exemplary 3D memory device with an embedded DRAM, according to some embodiments.
FIG. 4 illustrates a cross-section of another exemplary 3D memory device with an embedded DRAM, according to some embodiments.
FIGS. 5A-5C illustrate a fabrication process for forming an exemplary semiconductor structure having a peripheral circuit and an embedded DRAM, according to some embodiments.
FIGS. 6A and 6B illustrate a fabrication process for forming an exemplary semiconductor structure having 3D NAND memory strings, according to some embodiments.
FIGS. 7A and 7B illustrate a fabrication process for forming an exemplary 3D memory device with an embedded DRAM, according to some embodiments.
FIG. 8 illustrates an exemplary operation of a 3D memory device with an embedded DRAM, according to some embodiments.
FIG. 9 is a flowchart of an exemplary method for forming a 3D memory device with an embedded DRAM, according to some embodiments.
FIG. 10 is a flowchart of an exemplary method for operating a 3D memory device with an embedded DRAM, according to some embodiments.
Embodiments of the present disclosure will be described with reference to the accompanying drawings.
DETAILED DESCRIPTION
Although specific configurations and arrangements are discussed, it should be understood that this is done for illustrative purposes only. A person skilled in the pertinent art will recognize that other configurations and arrangements can be used without departing from the spirit and scope of the present disclosure. It will be apparent to a person skilled in the pertinent art that the present disclosure can also be employed in a variety of other applications.
It is noted that references in the specification to “one embodiment,” “an embodiment,” “an example embodiment,” “some embodiments,” etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases do not necessarily refer to the same embodiment. Further, when a particular feature, structure or characteristic is described in connection with an embodiment, it would be within the knowledge of a person skilled in the pertinent art to effect such feature, structure or characteristic in connection with other embodiments whether or not explicitly described.
In general, terminology may be understood at least in part from usage in context. For example, the term “one or more” as used herein, depending at least in part upon context, may be used to describe any feature, structure, or characteristic in a singular sense or may be used to describe combinations of features, structures or characteristics in a plural sense. Similarly, terms, such as “a,” “an,” or “the,” again, may be understood to convey a singular usage or to convey a plural usage, depending at least in part upon context. In addition, the term “based on” may be understood as not necessarily intended to convey an exclusive set of factors and may, instead, allow for existence of additional factors not necessarily expressly described, again, depending at least in part on context.
It should be readily understood that the meaning of “on,” “above,” and “over” in the present disclosure should be interpreted in the broadest manner such that “on” not only means “directly on” something but also includes the meaning of “on” something with an intermediate feature or a layer therebetween, and that “above” or “over” not only means the meaning of “above” or “over” something but can also include the meaning it is “above” or “over” something with no intermediate feature or layer therebetween (i.e., directly on something).
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper,” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
As used herein, the term “substrate” refers to a material onto which subsequent material layers are added. The substrate itself can be patterned. Materials added on top of the substrate can be patterned or can remain unpatterned. Furthermore, the substrate can include a wide array of semiconductor materials, such as silicon, germanium, gallium arsenide, indium phosphide, etc. Alternatively, the substrate can be made from an electrically non-conductive material, such as a glass, a plastic, or a sapphire wafer.
As used herein, the term “layer” refers to a material portion including a region with a thickness. A layer can extend over the entirety of an underlying or overlying structure or may have an extent less than the extent of an underlying or overlying structure. Further, a layer can be a region of a homogeneous or inhomogeneous continuous structure that has a thickness less than the thickness of the continuous structure. For example, a layer can be located between any pair of horizontal planes between, or at, a top surface and a bottom surface of the continuous structure. A layer can extend horizontally, vertically, and/or along a tapered surface. A substrate can be a layer, can include one or more layers therein, and/or can have one or more layer thereupon, thereabove, and/or therebelow. A layer can include multiple layers. For example, an interconnect layer can include one or more conductor and contact layers (in which interconnect lines and/or via contacts are formed) and one or more dielectric layers.
As used herein, the term “nominal/nominally” refers to a desired, or target, value of a characteristic or parameter for a component or a process operation, set during the design phase of a product or a process, together with a range of values above and/or below the desired value. The range of values can be due to slight variations in manufacturing processes or tolerances. As used herein, the term “about” indicates the value of a given quantity that can vary based on a particular technology node associated with the subject semiconductor device. Based on the particular technology node, the term “about” can indicate a value of a given quantity that varies within, for example, 10-30% of the value (e.g., ±10%, ±20%, or ±30% of the value).
As used herein, the term “3D memory device” refers to a semiconductor device with vertically oriented strings of memory cell transistors (referred to herein as “memory strings,” such as NAND memory strings) on a laterally-oriented substrate so that the memory strings extend in the vertical direction with respect to the substrate. As used herein, the term “vertical/vertically” means nominally perpendicular to the lateral surface of a substrate.
In conventional 3D memory devices, the periphery circuits that are formed outside of memory array devices on the same plane can occupy a large area of the device chip, thereby leading to poor array efficiency, large die size, and high cost. Also, the thermal budget associated with processing the memory array device limits the peripheral circuit performance requirement, making it difficult to achieve high input/output (I/O) speed of the 3D memory device. Moreover, for 3D NAND memory devices, the NAND memory cell program, erase, and read speeds are intrinsically slow compared with other types of memory devices, such as DRAM and static RAM (SRAM).
Various embodiments in accordance with the present disclosure provide a 3D memory device having an on-chip embedded DRAM with improved I/O speed, throughput, and memory density. In some embodiments, the peripheral circuits (e.g., control and sensing circuits) of 3D NAND memory are formed on a separate substrate using high-speed advanced logic device processing. Embedded DRAM cells can be formed on the same substrate as the peripheral circuits to take advantages of empty wafer space and serve as high-speed memory buffer of the 3D NAND memory. 3D NAND memory can be formed on another substrate and bonded to the substrate on which the peripheral circuits and embedded DRAM cells are formed. As a result, memory array efficiency is increased and die size and bit cost are reduced, thereby greatly boosting the memory device performance. The I/O speed and throughput of the 3D memory devices can match the speed and throughput of DRAM and peripheral logic devices. By integrating high-speed volatile memory (e.g., DRAM) and high density/capacity non-volatile memory (e.g., 3D NAND memory) in one chip, high-speed non-volatile data storage can be achieved. For example, the 3D memory devices with the embedded DRAM disclosed herein can enable an instant-on feature on mobile devices or computers because of its high-speed non-volatile data storage capability.
FIG. 1A illustrates a schematic view of a cross-section of an exemplary 3D memory device 100 with an embedded DRAM, according to some embodiments. 3D memory device 100 represents an example of a non-monolithic 3D memory device. The term “non-monolithic” means that the components of 3D memory device 100 (e.g., peripheral circuit/embedded DRAM and 3D NAND memory) can be formed separately on different substrates and then joined to form a 3D memory device. 3D memory device 100 can include a first semiconductor structure 102 including peripheral circuits and an array of embedded DRAM cells. Both peripheral circuits and the embedded DRAM cell array can be implemented with advanced logic processes (e.g., technology nodes of 90 nm, 80 nm, 65 nm, 55 nm, 45 nm, 40 nm, 32 nm, 28 nm, 22 nm, 20 nm, 16 nm, 14 nm, 10 nm, 7 nm, 5 nm, 3 nm, etc.) to achieve high speed. In some embodiments, the peripheral circuits and embedded DRAM cell array in first semiconductor structure are using complementary metal-oxide-semiconductor (CMOS) technology.
In some embodiments, the peripheral circuits include any suitable digital, analog, and/or mixed-signal peripheral circuits used for facilitating the operation of 3D memory device 100. For example, the peripheral circuits can include one or more of a page buffer, a decoder (e.g., a row decoder and a column decoder), a sense amplifier, a driver, a charge pump, a current or voltage reference, or any active or passive components of the circuits (e.g., transistors, diodes, resistors, or capacitors). An embedded DRAM is a DRAM integrated on the same die of logic circuits (e.g., the peripheral circuits), which allows wider bus and higher operation speed. Embedded DRAM, like all types of DRAM, require periodic refreshing of the memory cells. The memory controller for refreshing the embedded DRAM can be embedded as part of the peripheral circuits. In some embodiments, each embedded DRAM cell includes a capacitor for string a bit of data as a positive of negative electrical charge as well as one or more transistors that control access to it. In one example, each embedded DRAM cell is a one-transistor, one-capacitor (1T1C) cell.
3D memory device 100 can also include a second semiconductor structure 104 including an array of 3D NAND memory strings. That is, second semiconductor structure 104 can be a NAND Flash memory device in which memory cells are provided in the form of an array of NAND memory strings. In some embodiments, depending on the NAND technology (e.g., the number of levels/tiers in the memory stack), a 3D NAND memory string typically consists of 32 to 256 NAND memory cells. 3D NAND memory strings can be organized into pages which are then organized into blocks in which each 3D NAND memory string is connected to a separate line called a bit line (BL). All cells with the same position in the 3D NAND memory string can be connected through the control gates by a word line (WL). In some embodiments, a plane contains a certain number of blocks that are connected through the same bit line. Second semiconductor structure 104 can include one or more planes, and the peripheral circuits that are needed to perform all the read/write/erase operations can be included in first semiconductor structure 102.
As shown in FIG. 1A, 3D memory device 100 further includes a bonding interface 106 vertically between first semiconductor structure 102 and second semiconductor structure 104. As described below in detail, first and second semiconductor structures 102 and 104 can be fabricated separately (and in parallel in some embodiments) such that the thermal budget of fabricating one of first and second semiconductor structures 102 and 104 does not limit the processes of fabricating another one of first and second semiconductor structures 102 and 104. Moreover, a large number of interconnects (e.g., bonding contacts via hybrid bonding) can be formed through bonding interface 106 to make direct, short electrical connections between first semiconductor structure 102 and second semiconductor structure 104, as opposed to the long-distance chip-to-chip data bus on the circuit board, thereby eliminating chip interface delay and achieving high-speed I/O throughput with reduced power consumption. Data transfer between the array of 3D NAND memory strings in second semiconductor structure 104 and the array of embedded DRAM cells in first semiconductor structure 102 can be performed through the interconnects (e.g., bonding contacts via hybrid bonding) across bonding interface 106. Furthermore, by vertically integrating first and second semiconductor structures 102 and 104, the chip size can be reduced, and the memory cell density can be increased.
It is understood that the relative positions of stacked first and second semiconductor structures 102 and 104 are not limited. FIG. 1B illustrates a schematic view of a cross-section of another exemplary 3D memory device 101 with an embedded DRAM, according to some embodiments. Different from 3D memory device 100 in FIG. 1A in which second semiconductor structure 104 including the array of 3D NAND memory strings is above first semiconductor structure 102 including the peripheral circuits and the array of embedded DRAM cells, in 3D memory device 100 in FIG. 1B, first semiconductor structure 102 including the peripheral circuits and the array of embedded DRAM cells is above second semiconductor structure 104 including the array of 3D NAND memory strings. Nevertheless, bonding interface 106 is formed vertically between first and second semiconductor structures 102 and 104 in 3D memory device 101 as well as first and second semiconductor structures 102 and 104 are joined vertically through bonding (e.g., hybrid bonding) according to some embodiments. Data transfer between the array of 3D NAND memory strings in second semiconductor structure 104 and the array of embedded DRAM cells in first semiconductor structure 102 can be performed through the interconnects (e.g., bonding contacts via hybrid bonding) across bonding interface 106.
FIG. 2 illustrates a schematic plan view of an exemplary semiconductor structure 200 having a peripheral circuit and an embedded DRAM, according to some embodiments. Semiconductor structure 200 may be one example of first semiconductor structure 102. Semiconductor structure 200 can include peripheral circuits for controlling and sensing a 3D NAND memory, including word line drivers 202, page buffers 204, and any other suitable circuits. Semiconductor structure 200 can further include embedded DRAM 206 on the same die as the peripheral circuits and fabricated using the same logic process as the peripheral circuits. FIG. 2 shows an exemplary layout of the peripheral circuits (e.g., word line drivers 202, page buffers 204) and embedded DRAM 206 in which peripheral circuits (e.g., word line drivers 202, page buffers 204) and embedded DRAM 206 are formed in different regions on the same plane. For example, embedded DRAM 206 may be formed outside of the peripheral circuits (e.g., word line drivers 202, page buffers 204). It is understood that the layout of semiconductor structure 200 is not limited to the exemplary layout in FIG. 2 . In some embodiments, the peripheral circuit (e.g., word line drivers 202, page buffers 204) and embedded DRAM 206 (e.g., the array of embedded DRAM cells) are stacked one over another, i.e., on different planes. For example, embedded DRAM 206 (e.g., the array of embedded DRAM cells) may be formed above or below the peripheral circuit (e.g., word line drivers 202, page buffers 204) to further reduce the chip size.
FIG. 3 illustrates a cross-section of an exemplary 3D memory device 300 with an embedded DRAM, according to some embodiments. As one example of 3D memory device 100 described above with respect to FIG. 1A, 3D memory device 300 is a non-monolithic 3D memory device including a first semiconductor structure 302 and a second semiconductor structure 304 stacked over first semiconductor structure 302. First and second semiconductor structures 302 and 304 are joined at a bonding interface 306 therebetween. As shown in FIG. 3 , first semiconductor structure 302 can include a substrate 308, which can include silicon (e.g., single crystalline silicon), silicon germanium (SiGe), gallium arsenide (GaAs), germanium (Ge), silicon on insulator (SOI), or any other suitable materials.
First semiconductor structure 302 of 3D memory device 300 can include a device layer 310 above substrate 308. It is noted that x and y axes are added in FIG. 3 to further illustrate the spatial relationship of the components in 3D memory device 300. Substrate 308 includes two lateral surfaces (e.g., a top surface and a bottom surface) extending laterally in the x-direction (the lateral direction or width direction). As used herein, whether one component (e.g., a layer or a device) is “on,” “above,” or “below” another component (e.g., a layer or a device) of a semiconductor device (e.g., 3D memory device 300) is determined relative to the substrate of the semiconductor device (e.g., substrate 308) in the y-direction (the vertical direction or thickness direction) when the substrate is positioned in the lowest plane of the semiconductor device in the y-direction. The same notion for describing spatial relationship is applied throughout the present disclosure.
In some embodiments, device layer 310 includes a peripheral circuit 312 on substrate 308 and an array of embedded DRAM cells 314 on substrate 308 and outside of peripheral circuit 312. In some embodiments, peripheral circuit 312 includes a plurality of peripheral transistors 316 forming any suitable digital, analog, and/or mixed-signal peripheral circuits used for facilitating the operation of 3D memory device 300 including, but not limited to, a page buffer, a decoder (e.g., a row decoder and a column decoder), a sense amplifier, a driver, a charge pump, a current or voltage reference. Peripheral transistors 316 can be formed “on” substrate 308, in which the entirety or part of peripheral transistors 316 are formed in substrate 308 (e.g., below the top surface of substrate 308) and/or directly on substrate 308. Isolation regions (e.g., shallow trench isolations (STIs)) and doped regions (e.g., source regions and drain regions of peripheral transistors 316) can be formed in substrate 308 as well.
In some embodiments, each embedded DRAM cell 314 includes a DRAM selection transistor 318 and a capacitor 320. Embedded DRAM cell 314 can be a 1T1C cell consisting of one transistor and one capacitor. It is understood that embedded DRAM cell 314 may be of any suitable configuration, such as 2T1C cell, 3T1C cell, etc. In some embodiments, DRAM selection transistors 318 are formed “on” substrate 308, in which the entirety or part of DRAM selection transistors 318 are formed in substrate 308 (e.g., below the top surface of substrate 308) and/or directly on substrate 308. Isolation regions (e.g., shallow trench isolations (STIs)) and doped regions (e.g., source regions and drain regions of DRAM selection transistors 318) can be formed in substrate 308 as well. As shown in FIG. 3 , DRAM selection transistors 318 and peripheral transistors 316 can be formed in different regions on the same plane, e.g., on substrate 308. That is, DRAM selection transistors 318 can be formed outside of the region in which peripheral circuit 312 is formed on substrate 308. In some embodiments, capacitors 320 are formed above DRAM selection transistors 318. Each capacitor 320 includes two electrodes, one of which is electrically connected to one node of respective DRAM selection transistor 318, according to some embodiments. Another node of each DRAM selection transistor 318 is electrical connected to a bit line 319 of embedded DRAM, according to some embodiments. Another electrode of each capacitor 320 can be electrically connected to a common plate 321, e.g., a common ground. It is understood that the structure and configuration of embedded DRAM cell 314 are not limited to the example in FIG. 3 and may include any suitable structure and configuration. For example, capacitor 320 may be a planar capacitor, a stack capacitor, a multi-fins capacitor, a cylinder capacitor, a trench capacitor, or a substrate-plate capacitor.
In some embodiments, first semiconductor structure 302 of 3D memory device 300 further includes an interconnect layer 322 above device layer 310 to transfer electrical signals to and from peripheral circuit 312 and array of embedded DRAM cells 314. Interconnect layer 322 can include a plurality of interconnects (also referred to herein as “contacts”), including lateral interconnect lines and vertical interconnect access (via) contacts. As used herein, the term “interconnects” can broadly include any suitable types of interconnects, such as middle-end-of-line (MEOL) interconnects and back-end-of-line (BEOL) interconnects. Interconnect layer 322 can further include one or more interlayer dielectric (ILD) layers (also known as “intermetal dielectric (IMD) layers”) in which the interconnect lines and via contacts can form. That is, interconnect layer 322 can include interconnect lines and via contacts in multiple ILD layers. The interconnect lines and via contacts in interconnect layer 322 can include conductive materials including, but not limited to, tungsten (W), cobalt (Co), copper (Cu), aluminum (Al), silicides, or any combination thereof. The ILD layers in interconnect layer 322 can include dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low dielectric constant (low-k) dielectrics, or any combination thereof.
As shown in FIG. 3 , first semiconductor structure 302 of 3D memory device 300 can further include a bonding layer 324 at bonding interface 306 and above interconnect layer 322 and device layer 310 (including peripheral circuit 312 and array of embedded DRAM cells 314). Bonding layer 324 can include a plurality of bonding contacts 326 and dielectrics electrically isolating bonding contacts 326. Bonding contacts 326 can include conductive materials including, but not limited to, W, Co, Cu, Al, silicides, or any combination thereof. The remaining area of bonding layer 324 can be formed with dielectrics including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k dielectrics, or any combination thereof. Bonding contacts 326 and surrounding dielectrics in bonding layer 324 can be used for hybrid bonding.
Similarly, as shown in FIG. 3 , second semiconductor structure 304 of 3D memory device 300 can also include a bonding layer 328 at bonding interface 306 and above bonding layer 324 of first semiconductor structure 302. Bonding layer 328 can include a plurality of bonding contacts 330 and dielectrics electrically isolating bonding contacts 330. Bonding contacts 330 can include conductive materials including, but not limited to, W, Co, Cu, Al, silicides, or any combination thereof. The remaining area of bonding layer 328 can be formed with dielectrics including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k dielectrics, or any combination thereof. Bonding contacts 330 and surrounding dielectrics in bonding layer 328 can be used for hybrid bonding.
As described above, second semiconductor structure 304 can be bonded on top of first semiconductor structure 302 in a face-to-face manner at bonding interface 306. In some embodiments, bonding interface 306 is disposed between bonding layers 324 and 328 as a result of hybrid bonding (also known as “metal/dielectric hybrid bonding”), which is a direct bonding technology (e.g., forming bonding between surfaces without using intermediate layers, such as solder or adhesives) and can obtain metal-metal bonding and dielectric-dielectric bonding simultaneously. In some embodiments, bonding interface 306 is the place at which bonding layers 324 and 328 are met and bonded. In practice, bonding interface 306 can be a layer with a certain thickness that includes the top surface of bonding layer 324 of first semiconductor structure 302 and the bottom surface of bonding layer 328 of second semiconductor structure 304.
In some embodiments, second semiconductor structure 304 of 3D memory device 300 further includes an interconnect layer 332 above bonding layer 328 to transfer electrical signals. Interconnect layer 332 can include a plurality of interconnects, such as MEOL interconnects and BEOL interconnects. Interconnect layer 332 can further include one or more ILD layers in which the interconnect lines and via contacts can form. The interconnect lines and via contacts in interconnect layer 332 can include conductive materials including, but not limited to, W, Co, Cu, Al, silicides, or any combination thereof. The ILD layers in interconnect layer 332 can include dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k dielectrics, or any combination thereof.
In some embodiments, second semiconductor structure 304 of 3D memory device 300 includes a NAND Flash memory device in which memory cells are provided in the form of an array of 3D NAND memory strings 338 above interconnect layer 332 and bonding layer 328. Each 3D NAND memory string 338 extends vertically through a plurality of pairs each including a conductor layer 334 and a dielectric layer 336, according to some embodiments. The stacked and interleaved conductor layers 334 and dielectric layer 336 are also referred to herein as a memory stack 333. Interleaved conductor layers 334 and dielectric layers 336 in memory stack 333 alternate in the vertical direction, according to some embodiments. In other words, except the ones at the top or bottom of memory stack 333, each conductor layer 334 can be adjoined by two dielectric layers 336 on both sides, and each dielectric layer 336 can be adjoined by two conductor layers 334 on both sides. Conductor layers 334 can each have the same thickness or different thicknesses. Similarly, dielectric layers 336 can each have the same thickness or different thicknesses. Conductor layers 334 can include conductor materials including, but not limited to, W, Co, Cu, Al, doped silicon, silicides, or any combination thereof. Dielectric layers 336 can include dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, or any combination thereof.
In some embodiments, each 3D NAND memory string 338 is a “charge trap” type of NAND memory string including a semiconductor channel 342 and a memory film 340. In some embodiments, semiconductor channel 342 includes silicon, such as amorphous silicon, polysilicon, or single crystalline silicon. In some embodiments, memory film 340 is a composite dielectric layer including a tunneling layer, a storage layer (also known as “charge trap/storage layer”), and a blocking layer. Each 3D NAND memory string 338 can have a cylinder shape (e.g., a pillar shape). Semiconductor channel 342, the tunneling layer, the storage layer, and the blocking layer of memory film 340 are arranged along a direction from the center toward the outer surface of the pillar in this order, according to some embodiments. The tunneling layer can include silicon oxide, silicon oxynitride, or any combination thereof. The storage layer can include silicon nitride, silicon oxynitride, silicon, or any combination thereof. The blocking layer can include silicon oxide, silicon oxynitride, high dielectric constant (high-k) dielectrics, or any combination thereof. In one example, the blocking layer can include a composite layer of silicon oxide/silicon oxynitride/silicon oxide (ONO). In another example, the blocking layer can include a high-k dielectric layer, such as an aluminum oxide (Al2O3), or hafnium oxide (HfO2) or tantalum oxide (Ta2O5) layer, and so on.
In some embodiments, 3D NAND memory strings 338 further include a plurality of control gates (each being part of a word line). Each conductor layer 334 in memory stack 333 can act as a control gate for each memory cell of 3D NAND memory string 338. In some embodiments, each 3D NAND memory string 338 includes two plugs 344 and 346 at a respective end in the vertical direction. Plug 344 can include a semiconductor material, such as single-crystal silicon, that is epitaxially grown from a semiconductor layer 348. Plug 344 can function as the channel controlled by a source select gate of 3D NAND memory string 338. Plug 344 can be at the upper end of 3D NAND memory string 338 and in contact with semiconductor channel 342. As used herein, the “upper end” of a component (e.g., 3D NAND memory string 338) is the end father away from substrate 308 in the y-direction, and the “lower end” of the component (e.g., 3D NAND memory string 338) is the end closer to substrate 308 in the y-direction when substrate 308 is positioned in the lowest plane of 3D memory device 300. Another Plug 346 can include semiconductor materials (e.g., polysilicon) or conductor materials (e.g., metals). In some embodiments, plug 346 includes an opening filled with titanium/titanium nitride (Ti/TiN as a barrier layer) and tungsten (as a conductor). By covering the upper end of 3D NAND memory string 338 during the fabrication of 3D memory device 300, plug 346 can function as an etch stop layer to prevent etching of dielectrics filled in 3D NAND memory string 338, such as silicon oxide and silicon nitride. In some embodiments, plug 346 functions as the drain of 3D NAND memory string 338.
In some embodiments, first semiconductor structure 302 further includes semiconductor layer 348 disposed above memory stack 333 and 3D NAND memory strings 338. Semiconductor layer 348 can be a thinned substrate on which memory stack 333 and 3D NAND memory strings 338 are formed. In some embodiments, semiconductor layer 348 includes single-crystal silicon from which plugs 344 can be epitaxially grown. In some embodiments, semiconductor layer 348 can include polysilicon, amorphous silicon, SiGe, GaAs, Ge, or any other suitable materials. Semiconductor layer 348 can also include isolation regions and doped regions (e.g., functioning as an array common source for 3D NAND memory strings 338, not shown). Isolation regions (not shown) can extend across the entire thickness or part of the thickness of semiconductor layer 348 to electrically isolate the doped regions. In some embodiments, a pad oxide layer including silicon oxide is disposed between memory stack 333 and semiconductor layer 348.
It is understood that 3D NAND memory strings 338 are not limited to the “charge trap” type of 3D NAND memory strings and may be “floating gate” type of 3D NAND memory strings in other embodiments. Semiconductor layer 348 may include polysilicon as the source plate of the “floating gate” type of 3D NAND memory strings.
As shown in FIG. 3 , second semiconductor structure 304 of 3D memory device 300 can further include a pad-out interconnect layer 350 above semiconductor layer 348. Pad-out interconnect layer 350 include interconnects, e.g., contact pads 352, in one or more ILD layers. The pad-out interconnect layer 350 and interconnect layer 332 can be formed at opposite sides of semiconductor layer 348. In some embodiments, the interconnects in pad-out interconnect layer 350 can transfer electrical signals between 3D memory device 300 and outside circuits, e.g., for pad-out purposes.
In some embodiments, second semiconductor structure 304 further includes one or more contacts 354 extending through semiconductor layer 348 to electrically connect pad-out interconnect layer 350 and interconnect layers 332 and 322. As a result, array of embedded DRAM cells 314 can be electrically connected to array of 3D NAND memory strings 338 through interconnect layers 322 and 332 as well as bonding contacts 326 and 330. Moreover, peripheral circuit 312, array of embedded DRAM cells 314, and array of 3D NAND memory strings 338 can be electrically connected to outside circuits through contacts 354 and pad-out interconnect layer 350.
FIG. 4 illustrates a cross-section of another exemplary 3D memory device 400 with an embedded DRAM, according to some embodiments. Similar to 3D memory device 300 described above in FIG. 3 , 3D memory device 400 represents an example of a non-monolithic 3D memory device in which a first semiconductor structure 402 including 3D NAND memory strings and a second semiconductor structure 404 including peripheral circuits and embedded DRAM cells are formed separately and bonded in a face-to-face manner at a bonding interface 406. Different from 3D memory device 300 described above in FIG. 3 in which first semiconductor structure 302 including peripheral circuits and embedded DRAM cells is below second semiconductor structure 304 including 3D NAND memory strings, 3D memory device 400 in FIG. 4 includes second semiconductor structure 404 including peripheral circuits and embedded DRAM cells disposed above first semiconductor structure 402 including 3D NAND memory strings. It is understood that the details of similar structures (e.g., materials, fabrication process, functions, etc.) in both 3D memory devices 300 and 400 may not be repeated below.
First semiconductor structure 402 of 3D memory device 400 can include a substrate 408 and a memory stack 410 including interleaved conductor layers 412 and dielectric layers 414 above substrate 408. In some embodiments, an array of 3D NAND memory strings 416 each extends vertically through interleaved conductor layers 412 and dielectric layers 414 in memory stack 410 above substrate 408. Each 3D NAND memory string 416 can include a semiconductor channel 420 and a memory film 418. Each 3D NAND memory string 416 further includes two plugs 422 and 424 at its lower end and upper end, respectively. 3D NAND memory strings 416 can be “charge trap” type of 3D NAND memory strings or “floating gate” type of 3D NAND memory strings. In some embodiments, a pad oxide layer including silicon oxide is disposed between memory stack 410 and substrate 408.
In some embodiments, first semiconductor structure 402 of 3D memory device 400 also includes an interconnect layer 426 above memory stack 410 and 3D NAND memory strings 416 to transfer electrical signals to and from 3D NAND memory strings 416. Interconnect layer 426 can include a plurality of interconnects, including interconnect lines and via contacts. In some embodiments, the interconnects in interconnect layer 426 also include local interconnects, such as bit line contacts and word line contacts. In some embodiments, first semiconductor structure 402 of 3D memory device 400 further includes a bonding layer 428 at bonding interface 406 and above interconnect layer 426 and memory stack 410. Bonding layer 428 can include a plurality of bonding contacts 430 and dielectrics surrounding and electrically isolating bonding contacts 430.
As shown in FIG. 4 , second semiconductor structure 404 of 3D memory device 400 includes another bonding layer 432 at bonding interface 406 and above bonding layer 428. Bonding layer 432 can include a plurality of bonding contacts 434 and dielectrics surrounding and electrically isolating bonding contacts 434. In some embodiments, second semiconductor structure 404 of 3D memory device 400 also includes an interconnect layer 436 above bonding layer 432 to transfer electrical signals. Interconnect layer 436 can include a plurality of interconnects, including interconnect lines and via contacts.
Second semiconductor structure 404 of 3D memory device 400 can further include a device layer 438 above interconnect layer 436 and bonding layer 432. In some embodiments, device layer 438 includes a peripheral circuit 442 above interconnect layer 436 and bonding layer 432 and an array of embedded DRAM cells 444 above interconnect layer 436 and bonding layer 432 and outside of peripheral circuit 442. In some embodiments, peripheral circuit 442 includes a plurality of peripheral transistors 446 forming any suitable digital, analog, and/or mixed-signal peripheral circuits used for facilitating the operation of 3D memory device 400 including, but not limited to, a page buffer, a decoder (e.g., a row decoder and a column decoder), a sense amplifier, a driver, a charge pump, a current or voltage reference. Peripheral transistors 446 can be formed “on” a semiconductor layer 440, in which the entirety or part of peripheral transistors 446 are formed in semiconductor layer 440 and/or directly on semiconductor layer 440. Isolation regions (e.g., shallow trench isolations (STIs)) and doped regions (e.g., source regions and drain regions of peripheral transistors 446) can be formed in semiconductor layer 440 as well.
In some embodiments, each embedded DRAM cell 444 includes a DRAM selection transistor 448 and a capacitor 450. Embedded DRAM cell 444 can be a 1T1C cell consisting of one transistor and one capacitor. It is understood that embedded DRAM cell 444 may be of any suitable configuration, such as 2T1C cell, 3T1C cell, etc. In some embodiments, DRAM selection transistors 448 are formed “on” semiconductor layer 440, in which the entirety or part of DRAM selection transistors 448 are formed in semiconductor layer 440 and/or directly on semiconductor layer 440. Isolation regions (e.g., shallow trench isolations (STIs)) and doped regions (e.g., source regions and drain regions of DRAM selection transistors 448) can be formed in semiconductor layer 440 as well. As shown in FIG. 4 , DRAM selection transistors 448 and peripheral transistors 446 can be formed in different regions on the same plane, e.g., on semiconductor layer 440. That is, DRAM selection transistors 448 can be formed outside of the region in which peripheral circuit 442 is formed on semiconductor layer 440. In some embodiments, capacitors 450 are disposed below DRAM selection transistors 448. Each capacitor 450 includes two electrodes, one of which is electrically connected to one node of respective DRAM selection transistor 448, according to some embodiments. Another node of each DRAM selection transistor 448 is electrical connected to a bit line 449 of embedded DRAM, according to some embodiments. Another electrode of each capacitor 450 can be electrically connected to a common plate 451, e.g., a common ground. It is understood that the structure and configuration of embedded DRAM cell 444 is not limited to the example in FIG. 4 and may include any suitable structure and configuration. For example, capacitor 450 may be a planar capacitor, a stack capacitor, a multi-fins capacitor, a cylinder capacitor, a trench capacitor, or a substrate-plate capacitor.
In some embodiments, second semiconductor structure 404 further includes semiconductor layer 440 disposed above device layer 438. Semiconductor layer 440 can be a thinned substrate on which peripheral transistors 446 and DRAM selection transistors 448 are formed. In some embodiments, semiconductor layer 440 includes single-crystal silicon. In some embodiments, semiconductor layer 440 can include polysilicon, amorphous silicon, SiGe, GaAs, Ge, or any other suitable materials. Semiconductor layer 440 can also include isolation regions and doped regions.
As shown in FIG. 4 , second semiconductor structure 404 of 3D memory device 400 can further include a pad-out interconnect layer 452 above semiconductor layer 440. Pad-out interconnect layer 452 include interconnects, e.g., contact pads 454, in one or more ILD layers. In some embodiments, the interconnects in pad-out interconnect layer 452 can transfer electrical signals between 3D memory device 400 and outside circuits, e.g., for pad-out purposes. In some embodiments, second semiconductor structure 404 further includes one or more contacts 456 extending through semiconductor layer 440 to electrically connect pad-out interconnect layer 452 and interconnect layers 436 and 426. As a result, array of embedded DRAM cells 444 can be electrically connected to array of 3D NAND memory strings 416 through interconnect layers 426 and 436 as well as bonding contacts 430 and 434. Moreover, peripheral circuit 442, array of embedded DRAM cells 444, and array of 3D NAND memory strings 416 can be electrically connected to outside circuits through contacts 456 and pad-out interconnect layer 452.
FIGS. 5A-5C illustrate a fabrication process for forming an exemplary semiconductor structure having a peripheral circuit and an embedded DRAM, according to some embodiments. FIGS. 6A and 6B illustrate a fabrication process for forming an exemplary semiconductor structure having 3D NAND memory strings, according to some embodiments. FIGS. 7A and 7B illustrate a fabrication process for forming an exemplary 3D memory device with an embedded DRAM, according to some embodiments. FIG. 9 is a flowchart of an exemplary method 900 for forming a 3D memory device with an embedded DRAM, according to some embodiments. Examples of the 3D memory device depicted in FIGS. 5-7 and 9 include 3D memory device 300 depicted in FIG. 3 and 3D memory device 400 depicted in FIG. 4 . FIGS. 5-7 and 9 will be described together. It is understood that the operations shown in method 900 are not exhaustive and that other operations can be performed as well before, after, or between any of the illustrated operations. Further, some of the operations may be performed simultaneously, or in a different order than shown in FIG. 9 .
As depicted in FIGS. 5A-5C, a first semiconductor structure including a peripheral circuit, an array of embedded DRAM cells, and a first bonding layer including a plurality of first bonding contacts is formed. As depicted in FIGS. 6A and 6B, a second semiconductor structure including an array of 3D NAND memory strings and a second bonding layer including a plurality of second bonding contacts is formed. As depicted in FIGS. 7A and 7B, the first semiconductor structure and the second semiconductor structure are bonded in a face-to-face manner, such that the first bonding contacts are in contact with the second bonding contacts at a bonding interface.
Referring to FIG. 9 , method 900 starts at operation 902, in which the peripheral circuit and the array of embedded DRAM cells are formed on a first substrate. The first substrate can be a silicon substrate. In some embodiments, to form the peripheral circuit and the array of embedded DRAM cells, a plurality of transistors are formed on the first substrate, and a plurality of capacitors are formed above and in contact with some of the transistors
As illustrated in FIG. 5A, a plurality of transistors (e.g., peripheral transistors 504 and DRAM selection transistors 506) are formed on a silicon substrate 502. Transistors 504 and 506 can be formed by a plurality of processes including, but not limited to, photolithography, dry/wet etch, thin film deposition, thermal growth, implantation, chemical mechanical polishing (CMP), and any other suitable processes. In some embodiments, doped regions are formed in silicon substrate 502 by ion implantation and/or thermal diffusion, which function, for example, as source regions and/or drain regions of transistors 504 and 506. In some embodiments, isolation regions (e.g., STIs) are also formed in silicon substrate 502 by wet/dry etch and thin film deposition.
As illustrated in FIG. 5B, a plurality of capacitors 508 are formed above and in contact with DRAM selection transistors 506. Each capacitor 508 can be patterned by photography to be aligned with respective DRAM selection transistor 506 to form a 1T1C memory cell, for example, by electrically connecting one electrode of capacitor 508 with one node of respective DRAM selection transistor 506. In some embodiments, bit lines 507 and common plates 509 are formed as well for connecting DRAM selection transistors 506 and capacitors 508. Capacitors 508 can be formed by a plurality of processes including, but not limited to, photolithography, dry/wet etch, thin film deposition, thermal growth, implantation, CMP, and any other suitable processes. A device layer 510 including a peripheral circuit (having peripheral transistors 504) and an array of embedded DRAM cells (each having DRAM selection transistor 506 and capacitor 508) is thereby formed.
Method 900 proceeds to operation 904, as illustrated in FIG. 9 , in which a first interconnect layer is formed above the peripheral circuit and the array of embedded DRAM cells. The first interconnect layer can include a first plurality of interconnects in one or more ILD layers. As illustrated in FIG. 5C, an interconnect layer 512 can be formed above device layer 510 including the peripheral circuit (having peripheral transistors 504) and the array of embedded DRAM cells (each having DRAM selection transistor 506 and capacitor 508). Interconnect layer 512 can include interconnects of MEOL and/or BEOL in a plurality of ILD layers to make electrical connections with device layer 510. In some embodiments, interconnect layer 512 includes multiple ILD layers and interconnects therein formed in multiple processes. For example, the interconnects in interconnect layers 512 can include conductive materials deposited by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, electroplating, electroless plating, or any combination thereof. Fabrication processes to form the interconnects can also include photolithography, CMP, wet/dry etch, or any other suitable processes. The ILD layers can include dielectric materials deposited by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, or any combination thereof. The ILD layers and interconnects illustrated in FIG. 5C can be collectively referred to as interconnect layer 512.
Method 900 proceeds to operation 906, as illustrated in FIG. 9 , in which a first bonding layer is formed above the first interconnect layer. The first bonding layer can include a plurality of first bonding contacts. As illustrated in FIG. 5C, a bonding layer 514 is formed above interconnect layer 512. Bonding layer 514 can include a plurality of bonding contacts 516 surrounded by dielectrics. In some embodiments, a dielectric layer is deposited on the top surface of interconnect layer 512 by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, or any combination thereof. Bonding contacts 516 then can be formed through the dielectric layer and in contact with the interconnects in interconnect layer 512 by first patterning contact holes through the dielectric layer using patterning process (e.g., photolithography and dry/wet etch of dielectric materials in the dielectric layer). The contact holes can be filled with a conductor (e.g., copper). In some embodiments, filling the contact holes includes depositing a barrier layer, an adhesion layer, and/or a seed layer before depositing the conductor.
Method 900 proceeds to operation 908, as illustrated in FIG. 9 , in which a memory stack is formed above a second substrate. The second substrate can be a silicon substrate. As illustrated in FIG. 6A, interleaved sacrificial layers (not shown) and dielectric layers 608 are formed above a silicon substrate 602. The interleaved sacrificial layers and dielectric layers 608 can form a dielectric stack (not shown). In some embodiments, each sacrificial layer includes a layer of silicon nitride, and each dielectric layer 608 includes a layer of silicon oxide. The interleaved sacrificial layers and dielectric layers 608 can be formed by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, or any combination thereof. In some embodiments, a memory stack 604 can be formed by a gate replacement process, e.g., replacing the sacrificial layers with conductor layers 606 using wet/dry etch of the sacrificial layers selective to dielectric layers 608 and filling the resulting recesses with conductor layers 606. As a result, memory stack 604 can include interleaved conductor layers 606 and dielectric layers 608. In some embodiments, each conductor layer 606 includes a metal layer, such as a layer of tungsten. It is understood that memory stack 604 may be formed by alternatingly depositing conductor layers (e.g., doped polysilicon layers) and dielectric layers (e.g., silicon oxide layers) without the gate replacement process in other embodiments. In some embodiments, a pad oxide layer including silicon oxide is formed between memory stack 604 and silicon substrate 602.
Method 900 proceeds to operation 910, as illustrated in FIG. 9 , in which the array of 3D NAND memory strings extending vertically through the memory stack are formed. As illustrated in FIG. 6A, 3D NAND memory strings 610 are formed above silicon substrate 602, each of which extends vertically through interleaved conductor layers 606 and dielectric layers 608 of memory stack 604. In some embodiments, fabrication processes to form 3D NAND memory string 610 include forming a channel hole through memory stack 604 and into silicon substrate 602 using dry etching/and or wet etching, such as deep reactive-ion etching (DRIE), followed by epitaxially growing a plug 612 in the lower portion of the channel hole from silicon substrate 602. In some embodiments, fabrication processes to form 3D NAND memory string 610 also include subsequently filling the channel hole with a plurality of layers, such as a memory film 614 (e.g., a tunneling layer, a storage layer, and a blocking layer) and a semiconductor layer 616, using thin film deposition processes such as ALD, CVD, PVD, or any combination thereof. In some embodiments, fabrication processes to form 3D NAND memory string 610 further include forming another plug 618 in the upper portion of the channel hole by etching a recess at the upper end of 3D NAND memory string 610, followed by filling the recess with a semiconductor material using thin film deposition processes such as ALD, CVD, PVD, or any combination thereof.
Method 900 proceeds to operation 912, as illustrated in FIG. 9 , in which a second interconnect layer is formed above the array of 3D NAND memory strings. The second interconnect layer can include a second plurality of interconnects in one or more ILD layers. As illustrated in FIG. 6B, an interconnect layer 620 can be formed above memory stack 604 and array of 3D NAND memory strings 610. Interconnect layer 620 can include interconnects of MEOL and/or BEOL in a plurality of ILD layers to make electrical connections with 3D NAND memory strings 610. In some embodiments, interconnect layer 620 includes multiple ILD layers and interconnects therein formed in multiple processes. For example, the interconnects in interconnect layers 620 can include conductive materials deposited by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, electroplating, electroless plating, or any combination thereof. Fabrication processes to form the interconnects can also include photolithography, CMP, wet/dry etch, or any other suitable processes. The ILD layers can include dielectric materials deposited by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, or any combination thereof. The ILD layers and interconnects illustrated in FIG. 6B can be collectively referred to as interconnect layer 620.
Method 900 proceeds to operation 914, as illustrated in FIG. 9 , in which a second bonding layer is formed above the second interconnect layer. The second bonding layer can include a plurality of second bonding contacts. As illustrated in FIG. 6B, a bonding layer 622 is formed above interconnect layer 620. Bonding layer 622 can include a plurality of bonding contacts 624 surrounded by dielectrics. In some embodiments, a dielectric layer is deposited on the top surface of interconnect layer 620 by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, or any combination thereof. Bonding contacts 624 then can be formed through the dielectric layer and in contact with the interconnects in interconnect layer 620 by first patterning contact holes through the dielectric layer using patterning process (e.g., photolithography and dry/wet etch of dielectric materials in the dielectric layer). The contact holes can be filled with a conductor (e.g., copper). In some embodiments, filling the contact holes includes depositing a barrier layer, an adhesion layer, and/or a seed layer before depositing the conductor.
Method 900 proceeds to operation 916, as illustrated in FIG. 9 , in which the first substrate and the second substrate are bonded in a face-to-face manner, such that the first bonding contacts are in contact with the second bonding contacts at the bonding interface. The bonding can be hybrid bonding. In some embodiments, the first substrate on which the peripheral circuit and embedded DRAM cells are formed (e.g., the first semiconductor structure) is disposed above the second substrate on which the 3D NAND memory strings are formed (e.g., the second semiconductor structure) after the bonding. In some embodiments, the second substrate on which the 3D NAND memory strings are formed (e.g., the second semiconductor structure) is disposed above the first substrate on which the peripheral circuit and embedded DRAM cells are formed (e.g., the first semiconductor structure) after the bonding.
As illustrated in FIG. 7A, silicon substrate 602 and components formed thereon (e.g., 3D NAND memory strings 610) are flipped upside down. Bonding layer 622 facing down is bonded with bonding layer 514 facing up, i.e., in a face-to-face manner, thereby forming a bonding interface 702 (as shown in FIG. 7B). In some embodiments, a treatment process, e.g., a plasma treatment, a wet treatment, and/or a thermal treatment, is applied to the bonding surfaces prior to the bonding. Although not shown in FIG. 7A, silicon substrate 502 and components formed thereon (e.g., device layer 510) can be flipped upside down, and bonding layer 514 facing down can be bonded with bonding layer 622 facing up, i.e., in a face-to-face manner, thereby forming bonding interface 702. After the bonding, bonding contacts 624 in bonding layer 622 and bonding contacts 516 in bonding layer 514 are aligned and in contact with one another, such that device layer 510 (e.g., the peripheral circuit and embedded DRAM cells therein) can be electrically connected to 3D NAND memory strings 610. It is understood that in the bonded device, 3D NAND memory strings 610 may be either above or below device layer 510 (e.g., the peripheral circuit and embedded DRAM cells therein). Nevertheless, bonding interface 702 can be formed between 3D NAND memory strings 610 and device layer 510 (e.g., the peripheral circuit and embedded DRAM cells therein) after the bonding as illustrated in FIG. 7B.
Method 900 proceeds to operation 918, as illustrated in FIG. 9 , in which the first substrate or the second substrate in thinned to form a semiconductor layer. In some embodiments, the first substrate of the first semiconductor structure, which is above the second substrate of the second semiconductor structure after the bonding, is thinned to form the semiconductor layer. In some embodiments, the second substrate of the second semiconductor structure, which is above the first substrate of the first semiconductor structure after the bonding, is thinned to form the semiconductor layer.
As illustrated in FIG. 7B, the substrate on top of the bonded 3D memory device (e.g., silicon substrate 402 as shown in FIG. 7A) is thinned, so that the thinned top substrate can serve as a semiconductor layer 704, for example, a single-crystal silicon layer. The thickness of the thinned substrate can be between about 200 nm and about 5 μm, such as between 200 nm and 5 μm, or between about 150 nm and about 50 μm, such as between 150 nm and 50 μm. Silicon substrate 402 can be thinned by processes including, but not limited to, wafer grinding, dry etch, wet etch, CMP, any other suitable processes, or any combination thereof. It is understood that when silicon substrate 502 is the substrate on top of the bonded 3D memory device, another semiconductor layer may be formed by thinning silicon substrate 502.
Method 900 proceeds to operation 920, as illustrated in FIG. 9 , in which a pad-out interconnect layer is formed above the semiconductor layer. As illustrated in FIG. 7B, a pad-out interconnect layer 706 is formed above semiconductor layer 704 (the thinned top substrate). Pad-out interconnect layer 705 can include interconnects, such as pad contacts 708, formed in one or more ILD layers. Pad contacts 708 can include conductive materials including, but not limited to, W, Co, Cu, Al, doped silicon, silicides, or any combination thereof. The ILD layers can include dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k dielectrics, or any combination thereof. In some embodiments, after the bonding and thinning, contacts 710 are formed extending vertically through semiconductor layer 704, for example by wet/dry etch followed by depositing conductive materials. Contacts 710 can be in contact with the interconnects in pad-out interconnect layer 706.
FIG. 8 illustrates an exemplary operation of a 3D memory device 800 with embedded DRAM 806, according to some embodiments. FIG. 10 is a flowchart of an exemplary method 1000 for operating a 3D memory device with an embedded DRAM, according to some embodiments. Examples of the 3D memory device 800 depicted in FIG. 8 include 3D memory device 300 depicted in FIG. 3 and 3D memory device 400 depicted in FIG. 4 . FIGS. 8 and 10 will be described together. It is understood that the operations shown in method 1000 are not exhaustive and that other operations can be performed as well before, after, or between any of the illustrated operations. Further, some of the operations may be performed simultaneously, or in a different order than shown in FIG. 10 . As illustrated in FIG. 8 , 3D memory device 800 includes an input/output circuit 804 (e.g., part of its peripheral circuits), embedded DRAM 806 having an array of embedded DRAM cells, and 3D NAND memory 808 having an array of 3D NAND memory strings. Input/output circuit 804, embedded DRAM 806, and 3D NAND memory 808 can be formed in the same chip as describe above in detail.
Referring to FIG. 10 , method 1000 starts at operation 1002, in which data is transferred through the input/output circuit to the array of embedded DRAM cells. As illustrated in FIG. 8 , any suitable type of data generated by a host 802 is transferred to embedded DRAM 806 of 3D memory device 800 through input/output circuit 804. Host 802 can be any suitable devices that generate the data, such as one or more processors. In some embodiments, host 802 includes a central processing unit (CPU), a graphics processor (e.g., graphics processing unit (GPU)), an application processor (AP), a general processor (e.g., APU, accelerated processing unit; GPGPU, general-purpose computing on GPU), or any other suitable processor. Input/output circuit 804 can be a high-speed, high-throughput input/output circuit as part of the peripheral circuits. Host 802 and 3D memory device 800 can be part of any suitable apparatus, for example, a virtual reality (VR)/augmented reality (AR) device (e.g., VR headset, etc.), handheld device (e.g., dumb or smart phone, tablet, etc.), wearable device (e.g., eyeglasses, wrist watch, etc.), automobile control station, gaming console, television set, laptop computer, desktop computer, netbook computer, media center, set-top box, global positioning system (GPS), printer, or any other suitable device.
Method 1000 proceeds to operation 1004, as illustrated in FIG. 10 , in which the data is buffered in the array of embedded DRAM cells. As illustrated in FIG. 8 , embedded DRAM 806 can work as an integrated high-speed, on-chip buffer of 3D memory device 800 for buffering the data transferred from host 802 through input/output circuit 804.
Method 1000 proceeds to operation 1006, as illustrated in FIG. 10 , in which the data is stored in the array of 3D NAND memory strings from the array of embedded DRAM cells. As illustrated in FIG. 8 , 3D NAND memory 808, the data buffered in embedded DRAM 806 can be stored in 3D NAND memory 808. In some embodiments, the data is buffered in embedded DRAM 806 and stored in 3D NAND memory 808 in parallel.
Method 1000 proceeds to operation 1008, as illustrated in FIG. 10 , in which the data is transferred between the array of 3D NAND memory strings and the array of embedded DRAM cells through a plurality of bonding contacts. In some embodiments, the transferring is triggered in response to power on or power off of the 3D memory device. As illustrated in FIG. 8 , data can be transferred between embedded DRAM 806 and 3D NAND memory 808 through direct electrical connections by a plurality of bonding contacts as described above in detail, which have shortened distance, higher throughput, and lower power consumption compared with the conventional on-board chip-to-chip data bus. An instant-on feature of host 802 can be enabled by the data transferred between embedded DRAM 806 and 3D NAND memory 808. In some embodiments, in response to power off of host 802 and/or 3D memory device 800, a snapshot of user data and/or operation system data buffered in embedded DRAM 806 is immediately transferred to 3D NAND memory 808, which can be retained after power off. In response to power on of host 802 and/or 3D memory device 800, the snapshot of user data and/or operation system data stored in 3D NAND memory 808 can be immediately transferred back to embedded DRAM 806 to restore the last state of host 802 prior to the power-off.
According to one aspect of the present disclosure, a 3D memory device includes a first semiconductor structure including a peripheral circuit, an array of embedded DRAM cells, and a first bonding layer including a plurality of first bonding contacts. The 3D memory device also further includes a second semiconductor structure including an array of 3D NAND memory strings and a second bonding layer including a plurality of second bonding contacts. The 3D memory device further includes a bonding interface between the first bonding layer and the second bonding layer. The first bonding contacts are in contact with the second bonding contacts at the bonding interface.
In some embodiments, the first semiconductor structure includes a substrate, the peripheral circuit on the substrate, the array of embedded DRAM cells on the substrate and outside of the peripheral circuit, and the first bonding layer above the peripheral circuit and the array of embedded DRAM cells.
In some embodiments, the second semiconductor structure includes the second bonding layer above the first bonding layer, a memory stack above the second bonding layer, the array of 3D NAND memory strings extending vertically through the memory stack, and a semiconductor layer above and in contact with the array of 3D NAND memory strings. In some embodiments, the 3D memory device further includes a pad-out interconnect layer above the semiconductor layer.
In some embodiments, the semiconductor layer includes polysilicon. In some embodiments, the semiconductor layer includes single-crystal silicon.
In some embodiments, the second semiconductor structure includes a substrate, a memory stack above the substrate, the array of 3D NAND memory strings extending vertically through the memory stack, and the second bonding layer above the memory stack and the array of 3D NAND memory strings.
In some embodiments, the first semiconductor structure includes the first bonding layer above the second bonding layer, the peripheral circuit above the first bonding layer, the array of embedded DRAM cells above the first bonding layer and outside of the peripheral circuit, and a semiconductor layer above and in contact with the peripheral circuit and the array of embedded DRAM cells. In some embodiments, the 3D memory device further includes a pad-out interconnect layer above the semiconductor layer.
In some embodiments, the peripheral circuit and the array of embedded DRAM cells are stacked one over another.
In some embodiments, each embedded DRAM cell includes a transistor and a capacitor.
In some embodiments, the first semiconductor structure includes a first interconnect layer vertically between the first bonding layer and the array of embedded DRAM cells, and the second semiconductor structure includes a second interconnect layer vertically between the second bonding layer and the array of 3D NAND memory strings.
In some embodiments, the array of embedded DRAM cells are electrically connected to the array of 3D NAND memory strings through the first and second interconnect layers and the first and second bonding contacts.
According to another aspect of the present disclosure, a method for forming a 3D memory device is disclosed. A first semiconductor structure is formed. The first semiconductor structure includes a peripheral circuit, an array of embedded DRAM cells, and a first bonding layer including a plurality of first bonding contacts. A second semiconductor structure is formed. The second semiconductor structure includes an array of 3D NAND memory strings and a second bonding layer including a plurality of second bonding contacts. The first semiconductor structure and the second semiconductor structure are bonded in a face-to-face manner, such that the first bonding contacts are in contact with the second bonding contacts at a bonding interface.
In some embodiments, to form the first semiconductor structure, the peripheral circuit and the array of embedded DRAM cells are formed on a first substrate, a first interconnect layer is formed above the peripheral circuit and the array of embedded DRAM cells, and the first bonding layer is formed above the first interconnect layer.
In some embodiments, to form the peripheral circuit and the array of embedded DRAM cells, a plurality of transistors are formed on the first substrate, and a plurality of capacitors are formed above and in contact with some of the transistors.
In some embodiments, to form the second semiconductor structure, a memory stack is formed above a second substrate, the array of 3D NAND memory strings extending vertically through the memory stack are formed, a second interconnect layer is formed above the array of 3D NAND memory strings, and the second bonding layer is formed above the second interconnect layer.
In some embodiments, the second semiconductor structure is above the first semiconductor structure after the bonding. In some embodiments, the second substrate is thinned to form a semiconductor layer after the bonding, and a pad-out interconnect layer is formed above the semiconductor layer.
In some embodiments, the first semiconductor structure is above the second semiconductor structure after the bonding. In some embodiments, the first substrate is thinned to form a semiconductor layer after the bonding, and a pad-out interconnect layer is formed above the semiconductor layer.
In some embodiments, the bonding includes hybrid bonding.
According to still another aspect of the present disclosure, a method for operating a 3D memory device is disclosed. The 3D memory device includes an input/output circuit, an array of embedded DRAM cells, and an array of 3D NAND memory strings in a same chip. Data is transferred through the input/output circuit to the array of embedded DRAM cells. The data is buffered in the array of embedded DRAM cells. The data is stored in the array of 3D NAND memory strings from the array of embedded DRAM cells.
In some embodiments, the data is transferred between the array of 3D NAND memory strings and the array of embedded DRAM cells through a plurality of bonding contacts.
In some embodiments, the transferring is triggered in response to power on or power off of the 3D memory device.
The foregoing description of the specific embodiments will so reveal the general nature of the present disclosure that others can, by applying knowledge within the skill of the art, readily modify and/or adapt for various applications such specific embodiments, without undue experimentation, without departing from the general concept of the present disclosure. Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented herein. It is to be understood that the phraseology or terminology herein is for the purpose of description and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by the skilled artisan in light of the teachings and guidance.
Embodiments of the present disclosure have been described above with the aid of functional building blocks illustrating the implementation of specified functions and relationships thereof. The boundaries of these functional building blocks have been arbitrarily defined herein for the convenience of the description. Alternate boundaries can be defined so long as the specified functions and relationships thereof are appropriately performed.
The Summary and Abstract sections may set forth one or more but not all exemplary embodiments of the present disclosure as contemplated by the inventor(s), and thus, are not intended to limit the present disclosure and the appended claims in any way.
The breadth and scope of the present disclosure should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.

Claims (20)

What is claimed is:
1. A three-dimensional (3D) memory device, comprising:
a first semiconductor structure comprising a peripheral circuit, a first bonding layer comprising at least one first bonding contact electronically connected to the peripheral circuit, and a first interconnect layer vertically between the first bonding layer and the peripheral circuit;
a second semiconductor structure comprising an array of 3D NAND memory strings, a second bonding layer comprising at least one second bonding contact electronically connected to the array of 3D NAND memory strings, and a second interconnect layer vertically between the second bonding layer and the array of 3D NAND memory strings; and
a bonding interface between the first semiconductor structure and the second semiconductor structure.
2. The 3D memory device of claim 1, wherein the first semiconductor structure comprises:
a substrate;
the peripheral circuit on the substrate; and
the first bonding layer above the peripheral circuit.
3. The 3D memory device of claim 2, wherein the second semiconductor structure comprises:
the second bonding layer above the first bonding layer;
a memory stack above the second bonding layer;
the array of 3D NAND memory strings extending vertically through the memory stack; and
a semiconductor layer above and in contact with the array of 3D NAND memory strings.
4. The 3D memory device of claim 3, further comprising a pad-out interconnect layer above the semiconductor layer.
5. The 3D memory device of claim 3, wherein the semiconductor layer comprises polysilicon.
6. The 3D memory device of claim 3, wherein the semiconductor layer comprises single-crystal silicon.
7. The 3D memory device of claim 1, wherein the second semiconductor structure comprises:
a substrate;
a memory stack above the substrate;
the array of 3D NAND memory strings extending vertically through the memory stack; and
the second bonding layer above the memory stack and the array of 3D NAND memory strings.
8. The 3D memory device of claim 7, wherein the first semiconductor structure comprises:
the first bonding layer above the second bonding layer;
the peripheral circuit above the first bonding layer; and
a semiconductor layer above and in contact with the peripheral circuit.
9. The 3D memory device of claim 8, further comprising a pad-out interconnect layer above the semiconductor layer.
10. The 3D memory device of claim 1, wherein the peripheral circuit is electrically connected to the array of 3D NAND memory strings through the first and second interconnect layers and the at least one first bonding contact and the at least one second bonding contact.
11. The 3D memory device of claim 1, wherein each of the first interconnect layer and the second interconnect layer comprises at least one lateral interconnect line and at least one vertical interconnect access contact.
12. The 3D memory device of claim 11, wherein each of the first interconnect layer and the second interconnect layer comprises one or more interlayer dielectric layers in which the at least one lateral interconnect line and the at least one vertical interconnect access contact are formed.
13. The 3D memory device of claim 1, wherein:
the peripheral circuit comprises peripheral transistors; and
the first semiconductor structure comprises dynamic random-access memory (DRAM) selection transistors in a same device layer with the peripheral transistors.
14. A method for forming a three-dimensional (3D) memory device, comprising:
forming a first semiconductor structure comprising a peripheral circuit, a first bonding layer comprising at least one first bonding contact electronically connected to the peripheral circuit, and a first interconnect layer vertically between the first bonding layer and the peripheral circuit;
forming a second semiconductor structure comprising an array of 3D NAND memory strings, a second bonding layer comprising at least one second bonding contact electronically connected to the array of 3D NAND memory strings, and a second interconnect layer vertically between the second bonding layer and the array of 3D NAND memory strings; and
bonding the first semiconductor structure and the second semiconductor structure in a face-to-face manner, such that the first semiconductor structure and the second semiconductor structure are in contact at a bonding interface.
15. The method of claim 14, wherein forming the first semiconductor structure comprises:
forming the peripheral circuit on a first substrate;
forming the first interconnect layer above the peripheral circuit; and
forming the first bonding layer above the first interconnect layer.
16. The method of claim 14, wherein forming the second semiconductor structure comprises:
forming a memory stack above a second substrate;
forming the array of 3D NAND memory strings extending vertically through the memory stack;
forming the second interconnect layer above the array of 3D NAND memory strings; and
forming the second bonding layer above the second interconnect layer.
17. The method of claim 16, further comprising:
thinning the second substrate to form a semiconductor layer after the bonding; and
forming a pad-out interconnect layer above the semiconductor layer.
18. The method of claim 17, wherein the first semiconductor structure is above the second semiconductor structure after the bonding.
19. The method of claim 14, wherein forming the second interconnect layer comprises:
forming at least one lateral interconnect line and at least one vertical interconnect access contact in one or more interlayer dielectric layers to make electrical connections with the array of 3D NAND memory strings.
20. The method of claim 14, wherein the second semiconductor structure is above the first semiconductor structure after the bonding.
US17/207,258 2019-04-30 2021-03-19 Three-dimensional memory device with embedded dynamic random-access memory Active US11551753B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/207,258 US11551753B2 (en) 2019-04-30 2021-03-19 Three-dimensional memory device with embedded dynamic random-access memory

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
PCT/CN2019/085237 WO2020220280A1 (en) 2019-04-30 2019-04-30 Three-dimensional memory device with embedded dynamic random-access memory
US16/453,980 US10984862B2 (en) 2019-04-30 2019-06-26 Three-dimensional memory device with embedded dynamic random-access memory
US17/207,258 US11551753B2 (en) 2019-04-30 2021-03-19 Three-dimensional memory device with embedded dynamic random-access memory

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/453,980 Continuation US10984862B2 (en) 2019-04-30 2019-06-26 Three-dimensional memory device with embedded dynamic random-access memory

Publications (2)

Publication Number Publication Date
US20210210142A1 US20210210142A1 (en) 2021-07-08
US11551753B2 true US11551753B2 (en) 2023-01-10

Family

ID=67893943

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/453,980 Active US10984862B2 (en) 2019-04-30 2019-06-26 Three-dimensional memory device with embedded dynamic random-access memory
US17/207,258 Active US11551753B2 (en) 2019-04-30 2021-03-19 Three-dimensional memory device with embedded dynamic random-access memory

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/453,980 Active US10984862B2 (en) 2019-04-30 2019-06-26 Three-dimensional memory device with embedded dynamic random-access memory

Country Status (7)

Country Link
US (2) US10984862B2 (en)
EP (1) EP3891799A4 (en)
JP (1) JP7328344B2 (en)
KR (1) KR20210119509A (en)
CN (1) CN110249427A (en)
TW (1) TWI784180B (en)
WO (1) WO2020220280A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220165735A1 (en) * 2020-03-23 2022-05-26 Intel Corporation Compute near memory with backend memory
US20220375930A1 (en) * 2021-05-20 2022-11-24 Micron Technology, Inc. Transistor configurations for multi-deck memory devices

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109417022B (en) 2016-06-28 2023-08-11 应用材料公司 CVD-based oxide-metal multi-structure for 3D NAND memory devices
US11335405B2 (en) * 2018-12-17 2022-05-17 Samsung Electronics Co., Ltd. Nonvolatile memory device and operation method thereof
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
KR20240045345A (en) * 2019-04-15 2024-04-05 양쯔 메모리 테크놀로지스 씨오., 엘티디. Bonded semiconductor devices having processor and dynamic random-access memory and methods for forming the same
CN110731012B (en) * 2019-04-15 2021-01-29 长江存储科技有限责任公司 Integrated semiconductor device with processor and heterogeneous memory and forming method thereof
CN111033728A (en) 2019-04-15 2020-04-17 长江存储科技有限责任公司 Bonded semiconductor device with programmable logic device and dynamic random access memory and method of forming the same
CN111727503B (en) 2019-04-15 2021-04-16 长江存储科技有限责任公司 Unified semiconductor device with programmable logic device and heterogeneous memory and method of forming the same
WO2020220484A1 (en) 2019-04-30 2020-11-05 Yangtze Memory Technologies Co., Ltd. Bonded unified semiconductor chips and fabrication and operation methods thereof
JP7311615B2 (en) 2019-04-30 2023-07-19 長江存儲科技有限責任公司 Junction semiconductor device with processor and NAND flash memory and method of forming same
KR20210141589A (en) * 2019-04-30 2021-11-23 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3D memory device with 3D phase change memory
EP3891799A4 (en) * 2019-04-30 2022-07-27 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device with embedded dynamic random-access memory
CN110870062A (en) 2019-04-30 2020-03-06 长江存储科技有限责任公司 Bonded semiconductor device with programmable logic device and NAND flash memory and method of forming the same
US11638377B2 (en) 2019-09-13 2023-04-25 Applied Materials, Inc. Self-aligned select gate cut for 3D NAND
JP7378503B2 (en) * 2019-10-12 2023-11-13 長江存儲科技有限責任公司 Method and structure for die-to-die bonding
WO2021068221A1 (en) 2019-10-12 2021-04-15 Yangtze Memory Technologies Co., Ltd. Semiconductor devices having interposer structure and methods thereof
KR20220020368A (en) * 2019-10-12 2022-02-18 양쯔 메모리 테크놀로지스 씨오., 엘티디. Three-dimensional memory devices having a hydrogen blocking layer and methods of manufacturing the same
CN111066146A (en) * 2019-11-22 2020-04-24 长江存储科技有限责任公司 Contact structure having conductive portion within substrate in three-dimensional memory device and method of forming the same
CN110998845B (en) 2019-11-22 2022-01-07 长江存储科技有限责任公司 Contact structure having conductive portion within substrate in three-dimensional memory device and method of forming the same
CN111180344B (en) * 2020-01-02 2021-12-07 长江存储科技有限责任公司 Three-dimensional stacked structure and preparation method
KR20210088810A (en) * 2020-01-06 2021-07-15 에스케이하이닉스 주식회사 Three dimensional semiconductor memory device
JP2022528707A (en) 2020-01-21 2022-06-15 長江存儲科技有限責任公司 Interconnection structure of 3D memory devices
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure
US11527545B2 (en) * 2020-02-12 2022-12-13 Tokyo Electron Limited Architecture design and process for 3D logic and 3D memory
US11282828B2 (en) 2020-02-20 2022-03-22 Tokyo Electron Limited High density architecture design for 3D logic and 3D memory circuits
JP7367055B2 (en) * 2020-02-20 2023-10-23 長江存儲科技有限責任公司 DRAM memory device with Xtacking architecture
JP2021136269A (en) * 2020-02-25 2021-09-13 キオクシア株式会社 Semiconductor device
KR20220129620A (en) * 2020-04-14 2022-09-23 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3D Memory Device With Backside Interconnect Structures
TWI780666B (en) * 2020-05-07 2022-10-11 愛普科技股份有限公司 Semiconductor structure and method for manufacturing a plurality thereof
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
WO2022039848A1 (en) 2020-08-18 2022-02-24 Applied Materials, Inc. Methods for etching structures with oxygen pulsing
US11658042B2 (en) 2020-08-18 2023-05-23 Applied Materials, Inc. Methods for etching structures and smoothing sidewalls
US11688681B2 (en) * 2020-08-24 2023-06-27 Ap Memory Technology Corporation DRAM chiplet structure and method for manufacturing the same
JP2022041365A (en) * 2020-09-01 2022-03-11 キオクシア株式会社 Semiconductor storage device
WO2022047649A1 (en) * 2020-09-02 2022-03-10 Yangtze Memory Technologies Co., Ltd. Pad-out structure for xtacking architecture
EP4150671A4 (en) * 2020-12-24 2024-02-21 Yangtze Memory Tech Co Ltd Contact pads of three-dimensional memory device and fabrication method thereof
EP4044232A4 (en) * 2020-12-30 2023-06-28 Changxin Memory Technologies, Inc. Memory and manufacturing method therefor
CN112558889B (en) * 2021-02-26 2021-05-28 北京微核芯科技有限公司 Stacked Cache system based on SEDRAM, control method and Cache device
EP4071593A4 (en) * 2021-02-26 2023-08-23 Beijing Vcore Technology Co.,Ltd. Stacked cache system based on sedram, and control method and cache device
CN113053900B (en) * 2021-03-22 2023-01-20 长鑫存储技术有限公司 Semiconductor structure and manufacturing method thereof
CN113345487A (en) * 2021-06-04 2021-09-03 长江先进存储产业创新中心有限责任公司 Memory, memory system and manufacturing method of memory
US11842990B2 (en) 2021-06-30 2023-12-12 Micron Technology, Inc. Microelectronic devices and electronic systems
WO2023272614A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
US11930634B2 (en) 2021-06-30 2024-03-12 Micron Technology, Inc. Methods of forming microelectronic devices
WO2023272623A1 (en) * 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
US11776925B2 (en) 2021-06-30 2023-10-03 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
US11810838B2 (en) * 2021-06-30 2023-11-07 Micron Technology, Inc. Microelectronic devices, and related electronic systems and methods of forming microelectronic devices
US11785764B2 (en) 2021-06-30 2023-10-10 Micron Technology, Inc. Methods of forming microelectronic devices
WO2023272627A1 (en) * 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
US11837594B2 (en) 2021-06-30 2023-12-05 Micron Technology, Inc. Microelectronic devices and electronic systems
KR20230030344A (en) 2021-08-25 2023-03-06 삼성전자주식회사 Three-dimensional(3D) storage device using wafer-to-wafer bonding
US11751383B2 (en) 2021-08-31 2023-09-05 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
CN113782538B (en) * 2021-09-07 2023-12-26 长江存储科技有限责任公司 Three-dimensional memory and preparation method thereof
TWI775627B (en) * 2021-09-29 2022-08-21 鴻海精密工業股份有限公司 Memory chip and memory device
CN114097081A (en) * 2021-10-13 2022-02-25 长江存储科技有限责任公司 Three-dimensional memory device and method of forming the same

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5796135A (en) 1996-03-28 1998-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Process to fabricate stacked capacitor dram and low power thin film transistor sram devices on a single semiconductor chip
US20050128808A1 (en) 2001-02-21 2005-06-16 Koji Sakui Semiconductor memory device and memory system
US20090129186A1 (en) 2007-11-20 2009-05-21 Josef Schnell Self-diagnostic scheme for detecting errors
CN103378101A (en) 2012-04-20 2013-10-30 瑞萨电子株式会社 Semiconductor device and method of manufacturing the same
CN103383861A (en) 2012-05-04 2013-11-06 三星电子株式会社 System with three-dimensional nonvolatile memory and programming method therefor
US20150055413A1 (en) 2013-08-23 2015-02-26 Sandisk Technologies Inc. Three-dimensional nand non-volatile memory devices with buried word line selectors
CN104576646A (en) 2013-10-11 2015-04-29 苏州东微半导体有限公司 Integrated circuit chip and manufacturing method thereof
US20150255158A1 (en) 2014-03-07 2015-09-10 Kabushiki Kaisha Toshiba Nonvolatile memory and memory system
CN105097019A (en) 2014-05-21 2015-11-25 三星电子株式会社 Semiconductor devices and related programming methods
US20160071591A1 (en) 2014-09-06 2016-03-10 NEO Semiconductor, Inc. Method and apparatus for providing three-dimensional integrated nonvolatile memory (nvm) and dynamic random access memory (dram) memory device
CN107658315A (en) 2017-08-21 2018-02-02 长江存储科技有限责任公司 Semiconductor device and preparation method thereof
WO2018071143A2 (en) 2016-10-10 2018-04-19 Monolithic 3D Inc. 3d semiconductor device and structure
TW201824513A (en) 2010-02-16 2018-07-01 凡 歐貝克 System comprising a semiconductor device and structure
US20180204820A1 (en) 2017-01-17 2018-07-19 Apple Inc. 3d thin profile pre-stacking architecture using reconstitution method
US20180340894A1 (en) 2017-05-24 2018-11-29 Samsung Electronics Co., Ltd. Optical measuring method and apparatus, and method of manufacturing semiconductor device using the same
US20180374864A1 (en) 2014-09-12 2018-12-27 Toshiba Memory Corporation Semiconductor memory device
CN109155301A (en) 2018-08-13 2019-01-04 长江存储科技有限责任公司 Bonding contacts and forming method thereof with cap layer
CN109148498A (en) 2018-08-14 2019-01-04 武汉新芯集成电路制造有限公司 A kind of structure and its manufacturing method of the three-dimensional bonding sensor of high storage capacity
CN109411473A (en) 2018-11-05 2019-03-01 长江存储科技有限责任公司 A kind of DRAM storage chip and its manufacturing method
CN109545764A (en) 2018-11-14 2019-03-29 长江存储科技有限责任公司 Three-dimensional storage and its manufacturing method
TW201913966A (en) 2017-08-21 2019-04-01 大陸商長江存儲科技有限責任公司 Three-dimensional memory device and method of manufacturing same
CN109564923A (en) 2018-06-28 2019-04-02 长江存储科技有限责任公司 Three dimensional memory device with shielded layer and the method for manufacturing it
US20190123022A1 (en) 2016-10-07 2019-04-25 Xcelsis Corporation 3D Compute Circuit with High Density Z-Axis Interconnects
US20200258857A1 (en) 2019-02-11 2020-08-13 Yangtze Memory Technologies Co., Ltd. Bonded semiconductor structures having bonding contacts made of indiffusible conductive materials and methods for forming the same
WO2020210928A1 (en) 2019-04-15 2020-10-22 Yangtze Memory Technologies Co., Ltd. Integration of three-dimensional nand memory devices with multiple functional chips
US10957705B2 (en) * 2018-12-24 2021-03-23 Sandisk Technologies Llc Three-dimensional memory devices having a multi-stack bonded structure using a logic die and multiple three-dimensional memory dies and method of making the same
US10984862B2 (en) * 2019-04-30 2021-04-20 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device with embedded dynamic random-access memory
US20210217716A1 (en) * 2020-01-14 2021-07-15 Sandisk Technologies Llc Bonding pads including interfacial electromigration barrier layers and methods of making the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7800199B2 (en) * 2003-06-24 2010-09-21 Oh Choonsik Semiconductor circuit
JP6203152B2 (en) * 2014-09-12 2017-09-27 東芝メモリ株式会社 Manufacturing method of semiconductor memory device
JP2018148071A (en) * 2017-03-07 2018-09-20 東芝メモリ株式会社 Storage device
US10283452B2 (en) * 2017-09-15 2019-05-07 Yangtze Memory Technology Co., Ltd. Three-dimensional memory devices having a plurality of NAND strings
US20190043868A1 (en) * 2018-06-18 2019-02-07 Intel Corporation Three-dimensional (3d) memory with control circuitry and array in separately processed and bonded wafers

Patent Citations (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5796135A (en) 1996-03-28 1998-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Process to fabricate stacked capacitor dram and low power thin film transistor sram devices on a single semiconductor chip
US20050128808A1 (en) 2001-02-21 2005-06-16 Koji Sakui Semiconductor memory device and memory system
US20090129186A1 (en) 2007-11-20 2009-05-21 Josef Schnell Self-diagnostic scheme for detecting errors
TW201824513A (en) 2010-02-16 2018-07-01 凡 歐貝克 System comprising a semiconductor device and structure
CN103378101A (en) 2012-04-20 2013-10-30 瑞萨电子株式会社 Semiconductor device and method of manufacturing the same
CN103383861A (en) 2012-05-04 2013-11-06 三星电子株式会社 System with three-dimensional nonvolatile memory and programming method therefor
US20150055413A1 (en) 2013-08-23 2015-02-26 Sandisk Technologies Inc. Three-dimensional nand non-volatile memory devices with buried word line selectors
CN104576646A (en) 2013-10-11 2015-04-29 苏州东微半导体有限公司 Integrated circuit chip and manufacturing method thereof
US20150255158A1 (en) 2014-03-07 2015-09-10 Kabushiki Kaisha Toshiba Nonvolatile memory and memory system
CN105097019A (en) 2014-05-21 2015-11-25 三星电子株式会社 Semiconductor devices and related programming methods
DE102015105858A1 (en) 2014-05-21 2015-11-26 Samsung Electronics Co., Ltd. Semiconductor device with peripheral circuit area and a first and a second memory area, and associated programming method
US20150340366A1 (en) 2014-05-21 2015-11-26 Joon-Sung LIM Semiconductor devices including a peripheral circuit region and first and second memory regions, and related programming methods
US20160071591A1 (en) 2014-09-06 2016-03-10 NEO Semiconductor, Inc. Method and apparatus for providing three-dimensional integrated nonvolatile memory (nvm) and dynamic random access memory (dram) memory device
US20180374864A1 (en) 2014-09-12 2018-12-27 Toshiba Memory Corporation Semiconductor memory device
US20190123022A1 (en) 2016-10-07 2019-04-25 Xcelsis Corporation 3D Compute Circuit with High Density Z-Axis Interconnects
WO2018071143A2 (en) 2016-10-10 2018-04-19 Monolithic 3D Inc. 3d semiconductor device and structure
US20180204820A1 (en) 2017-01-17 2018-07-19 Apple Inc. 3d thin profile pre-stacking architecture using reconstitution method
US20180340894A1 (en) 2017-05-24 2018-11-29 Samsung Electronics Co., Ltd. Optical measuring method and apparatus, and method of manufacturing semiconductor device using the same
TW201913966A (en) 2017-08-21 2019-04-01 大陸商長江存儲科技有限責任公司 Three-dimensional memory device and method of manufacturing same
CN107658315A (en) 2017-08-21 2018-02-02 长江存储科技有限责任公司 Semiconductor device and preparation method thereof
CN109564923A (en) 2018-06-28 2019-04-02 长江存储科技有限责任公司 Three dimensional memory device with shielded layer and the method for manufacturing it
CN109155301A (en) 2018-08-13 2019-01-04 长江存储科技有限责任公司 Bonding contacts and forming method thereof with cap layer
CN109148498A (en) 2018-08-14 2019-01-04 武汉新芯集成电路制造有限公司 A kind of structure and its manufacturing method of the three-dimensional bonding sensor of high storage capacity
US20200058696A1 (en) 2018-08-14 2020-02-20 Wuhan Xinxin Semiconductor Manufacturing Co., Ltd. Sensor device and manufacturing method thereof
CN109411473A (en) 2018-11-05 2019-03-01 长江存储科技有限责任公司 A kind of DRAM storage chip and its manufacturing method
CN109545764A (en) 2018-11-14 2019-03-29 长江存储科技有限责任公司 Three-dimensional storage and its manufacturing method
US10957705B2 (en) * 2018-12-24 2021-03-23 Sandisk Technologies Llc Three-dimensional memory devices having a multi-stack bonded structure using a logic die and multiple three-dimensional memory dies and method of making the same
US20200258857A1 (en) 2019-02-11 2020-08-13 Yangtze Memory Technologies Co., Ltd. Bonded semiconductor structures having bonding contacts made of indiffusible conductive materials and methods for forming the same
US10784225B2 (en) 2019-02-11 2020-09-22 Yangtze Memory Technologies Co., Ltd. Bonded semiconductor structures having bonding contacts made of indiffusible conductive materials and methods for forming the same
WO2020210928A1 (en) 2019-04-15 2020-10-22 Yangtze Memory Technologies Co., Ltd. Integration of three-dimensional nand memory devices with multiple functional chips
US10984862B2 (en) * 2019-04-30 2021-04-20 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device with embedded dynamic random-access memory
US20210217716A1 (en) * 2020-01-14 2021-07-15 Sandisk Technologies Llc Bonding pads including interfacial electromigration barrier layers and methods of making the same

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Extended European Search Report issued in corresponding European Application No. 19927324.4, dated Jun. 28, 2022, 10 pages.
International Search Report issued in corresponding International Application No. PCT/CN2019/085237, dated Feb. 1, 2020, 5 pages.

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220165735A1 (en) * 2020-03-23 2022-05-26 Intel Corporation Compute near memory with backend memory
US11812599B2 (en) * 2020-03-23 2023-11-07 Intel Corporation Compute near memory with backend memory
US20220375930A1 (en) * 2021-05-20 2022-11-24 Micron Technology, Inc. Transistor configurations for multi-deck memory devices
US11862628B2 (en) * 2021-05-20 2024-01-02 Micron Technology, Inc. Transistor configurations for multi-deck memory devices

Also Published As

Publication number Publication date
JP2022521631A (en) 2022-04-11
EP3891799A4 (en) 2022-07-27
US10984862B2 (en) 2021-04-20
US20210210142A1 (en) 2021-07-08
TWI784180B (en) 2022-11-21
TW202042376A (en) 2020-11-16
JP7328344B2 (en) 2023-08-16
CN110249427A (en) 2019-09-17
WO2020220280A1 (en) 2020-11-05
EP3891799A1 (en) 2021-10-13
KR20210119509A (en) 2021-10-05
US20200350014A1 (en) 2020-11-05

Similar Documents

Publication Publication Date Title
US11551753B2 (en) Three-dimensional memory device with embedded dynamic random-access memory
US11735243B2 (en) Three-dimensional memory device with static random-access memory
US11631688B2 (en) Bonded unified semiconductor chips and fabrication and operation methods thereof
US20210391307A1 (en) Three-dimensional memory device with three-dimensional phase-change memory
US11864367B2 (en) Bonded semiconductor devices having processor and NAND flash memory and methods for forming the same
US20200328176A1 (en) Stacked Three-Dimensional Heterogeneous Memory Devices and Methods for Forming the Same
US11430785B2 (en) Computation-in-memory in three-dimensional memory device
US11922058B2 (en) Data buffering operation of three-dimensional memory device with static random-access memory
US11461266B2 (en) Computation-in-memory in three-dimensional memory device
US20220413771A1 (en) Cache program operation of three-dimensional memory device with static random-access memory

Legal Events

Date Code Title Description
AS Assignment

Owner name: YANGTZE MEMORY TECHNOLOGIES CO., LTD., CHINA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LIU, JUN;REEL/FRAME:055657/0714

Effective date: 20190314

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE