JP2016108655A - 成膜装置 - Google Patents

成膜装置 Download PDF

Info

Publication number
JP2016108655A
JP2016108655A JP2015199549A JP2015199549A JP2016108655A JP 2016108655 A JP2016108655 A JP 2016108655A JP 2015199549 A JP2015199549 A JP 2015199549A JP 2015199549 A JP2015199549 A JP 2015199549A JP 2016108655 A JP2016108655 A JP 2016108655A
Authority
JP
Japan
Prior art keywords
pore
gas
flow path
pores
cavity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015199549A
Other languages
English (en)
Other versions
JP6619606B2 (ja
Inventor
辻 直人
Naoto Tsuji
直人 辻
佐藤 和男
Kazuo Sato
和男 佐藤
孝幸 山岸
Takayuki Yamagishi
孝幸 山岸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2016108655A publication Critical patent/JP2016108655A/ja
Application granted granted Critical
Publication of JP6619606B2 publication Critical patent/JP6619606B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

【課題】本発明は、ガスの流路を迅速にパージできるシャワーヘッドを有する成膜装置を提供することを目的とする。【解決手段】サセプタの上方に設けられ、第1流路と、該第1流路とは独立した第2流路とが形成されたシャワーヘッドを備え、該第1流路は、第1上壁と第1下壁に囲まれた水平方向に広がる第1キャビティと、該第1上壁に形成された第1細孔と、該第1下壁に形成された複数の第2細孔と、を有することで該シャワーヘッドを貫通し、該第1上壁は該第1細孔から離れるほど鉛直方向高さが低くなり、該第2流路は、第2上壁と第2下壁に囲まれた水平方向に広がる第2キャビティと、該第2上壁に形成された第3細孔と、該第2下壁に形成された複数の第4細孔と、を有することで該シャワーヘッドを貫通し、該第2上壁は該第3細孔から離れるほど鉛直方向高さが低くなる。【選択図】図1

Description

本発明は、基板に膜を成長させる成膜装置に関する。
例えばPlasma Enhanced Atomic Layer Deposition(PE-ALD)又はAtomic Layer Deposition (ALD)では、シャワーヘッドを通したガスをサセプタ上の基板に供給する。特許文献1には、2つの独立した流路が形成されたシャワーヘッドを有する成膜装置が開示されている。
米国特許出願公開第2005/0229848号明細書
第1流路と第2流路が形成されたシャワーヘッドは以下のように利用される。まず、第1流路を経由させた第1ガスを基板へ提供する。第1ガスの提供後は第1流路にパージガスだけが流れ第1流路がパージされる。次いで、第2流路を経由させた第2ガスを基板へ提供する。第2ガスの提供後は第2流路にパージガスだけが流れ第2流路がパージされる。この一連の処理を繰り返すことで、第1ガスと第2ガスを交互に基板に供給する。
第1ガスを基板に供給する際に第2流路に第2ガスが残留していたり、第2ガスを基板に供給する際に第1流路に第1ガスが残留していたりすると、成膜品質が低下する。成膜品質の低下を避けるためにはパージ時間を長くせざるを得なかった。この弊害を回避するためには、迅速にパージできる第1流路と第2流路を形成することが好ましい。
本発明は、上述のような課題を解決するためになされたもので、ガスの流路を迅速にパージできるシャワーヘッドを有する成膜装置を提供することを目的とする。
本願の発明に係る成膜装置は、サセプタと、該サセプタの上方に設けられ、第1流路と、該第1流路とは独立した第2流路とが形成されたシャワーヘッドと、を備え、該第1流路は、第1上壁と第1下壁に囲まれた水平方向に広がる第1キャビティと、該第1上壁に形成された第1細孔と、該第1下壁に形成された複数の第2細孔と、を有することで該シャワーヘッドを貫通し、該第1上壁は該第1細孔から離れるほど鉛直方向高さが低くなり、該第1下壁の鉛直方向高さは一定であり、該第2流路は、第2上壁と第2下壁に囲まれた水平方向に広がる第2キャビティと、記第2上壁に形成された第3細孔と、該第2下壁に形成された複数の第4細孔と、を有することで該シャワーヘッドを貫通し、該第2上壁は該第3細孔から離れるほど鉛直方向高さが低くなり、該第2下壁の鉛直方向高さは一定であることを特徴とする。
本発明によれば、ガスが水平方向に広がりやすい流路を形成するので、ガスの流路を迅速にパージできる。
実施の形態1に係る成膜装置の断面斜視図である。 ベースプレートの底面図である。 成膜装置の断面図である。 図3の一点鎖線より左側のベースプレートの拡大図である。 図4のV−V線における断面図である。 実施の形態1に係る成膜装置による成膜方法を説明する図である。 比較例に係る成膜装置の断面図である。 比較例に係る成膜装置についてのシミュレーション結果を示す図である。 第2ガスの濃度をシミュレーションした地点を示す図である。 図9で示す地点における第2ガス濃度のシミュレーション結果を示す図である。 実施の形態2に係る成膜装置の断面図である。 実施の形態3に係る成膜装置の断面図である。
本発明の実施の形態に係る成膜装置について図面を参照して説明する。同じ又は対応する構成要素には同じ符号を付し、説明の繰り返しを省略する場合がある。
実施の形態1.
図1は、本発明の実施の形態1に係る成膜装置の一部の断面斜視図である。この成膜装置はシャワーヘッド10を備えている。シャワーヘッド10は、基板の表面に均等な密度のガスを供給するために設けられている。シャワーヘッド10は、ベースプレート12、ミドルプレート14及びアッパープレート16を備えている。ベースプレート12とミドルプレート14は間隙を設けて配置されている。ベースプレート12とミドルプレート14の上にアッパープレート16がのせられている。アッパープレート16は、ベースプレート12上のOリング18を圧縮変形させている。なお、ミドルプレート14とアッパープレート16は例えばセラミック等で一体的に形成してもよい。
シャワーヘッド10には、第1流路20と、第1流路20とは独立した第2流路30とが形成されている。したがって、このシャワーヘッド10はいわゆるダブルシャワーヘッドを構成している。第1流路20は、第1細孔20aと、第1キャビティ20bと、複数の第2細孔20cを有している。第1細孔20aはミドルプレート14とアッパープレート16に形成された鉛直方向に伸びる細孔である。第1細孔20aはシャワーヘッド10の上面中央から第1キャビティ20bに至る流路を提供している。第1キャビティ20bは水平方向に広がっている。第1キャビティ20bは、ミドルプレート14の下面である第1上壁22と、ベースプレート12の上面である第1下壁24に囲まれた領域である。
第2細孔20cは、ベースプレート12に形成された鉛直方向に伸びる細孔である。第2細孔20cは第1キャビティ20bからベースプレート12の下方へとガスを導く。第2細孔20cは第1下壁24に等間隔で複数形成されている。このように、第1流路20は、第1キャビティ20bと、第1上壁22に形成された第1細孔20aと、第1下壁24に形成された複数の第2細孔20cとを有することでシャワーヘッド10を貫通するものである。
第2流路30は、第3細孔30aと、第2キャビティ30bと、複数の第4細孔30cを有している。第3細孔30aは、シャワーヘッド10の上面12aの外縁側からシャワーヘッド10の中央(一点鎖線が引かれた部分)につながるようにベースプレート12に形成された細孔である。第3細孔30aは、ベースプレート12の上面12a側から第2キャビティ30bに至る流路を提供する。第2キャビティ30bは水平方向に広がっている。第2キャビティ30bは、ベースプレート12の中に形成された第2上壁32と第2下壁34に囲まれた領域である。
このように、第2流路30は、第2キャビティ30bと、第2上壁32に形成された第3細孔30aと、第2下壁34に形成された複数の第4細孔30cと、を有することでシャワーヘッド10を貫通するものである。
ところで、第1キャビティ20bと第2キャビティ30bは、平面視でアッパープレート16の直下全体に広がる空間である。そして、第1キャビティ20bの直下に一定密度で第2細孔20cが形成され、第2キャビティ30bの直下に一定密度で第4細孔30cが形成されている。第2細孔20cは、図1の一点鎖線左側だけでなく、一点鎖線右側にも形成されている。
シャワーヘッド10は、シャワーヘッド10を囲む環状の排気ダクト40の上にのせられている。排気ダクト40の上に設けられたOリング42の上にシャワーヘッド10がのせられることでOリング42が弾性変形している。排気ダクト40はベースプレート12の側面に接する凸部40aを有している。この凸部40aにより、シャワーヘッド10の外側に環状排気路40bが形成されている。
シャワーヘッド10の上方にはガス供給システムがある。第1細孔20aには、バルブ51を介してNガス源が接続され、バルブ52を介して第1ガスのガス源が接続されている。第3細孔30aには、バルブ53を介してNガス源が接続され、バルブ54を介して第2ガスのガス源が接続されている。4つのバルブ51、52、53、54の開閉はコントローラ50によって制御される。
図2は、ベースプレート12の底面図である。ベースプレート12の底面には、第2細孔20cと第4細孔30cが一定密度で形成されている。第2細孔20cと第4細孔30cは、シャワーヘッド10の底面全体に形成されている。
図3は、本発明の実施の形態1に係る成膜装置の断面図である。第1上壁22は第1細孔20aから離れるほど鉛直方向高さが低くなっている。言い換えれば、第1上壁22は、シャワーヘッド10の中央から外側に向かうほど鉛直方向高さが低下する斜面となっている。第1下壁24の鉛直方向高さは一定である。
第2上壁32は第3細孔30a(第3細孔30aと第2キャビティ30bの接続点)から離れるほど鉛直方向高さが低くなっている。言い換えれば、第2上壁32は、シャワーヘッド10の中央から外側に向かうほど鉛直方向高さが低下する斜面となっている。第2下壁34の鉛直方向高さは一定である。
排気ダクト40には、環状排気路40bのガスを外部へ排気する排気管60が取り付けられている。排気ダクト40は環状板64を介してチャンバ62にのせられている。チャンバ62の中のシャワーヘッド10の下方にはサセプタ70が設けられている。サセプタ70は、例えば直径300mm以上のウエハを搭載する大きさである。
サセプタ70の上には基板72が搭載される。なお、基板72は被成膜物であれば特に限定されないが、例えば直径0.3mのウエハである。
図4は、図3の一点鎖線より左側のベースプレート12の拡大図である。第2キャビティ30bの中に柱12pが形成されている。第2細孔20cは、この柱12pを縦方向に貫く。図5は、図4のV−V線における断面図である。第2キャビティ30bの中に一定密度で柱12pが設けられている。柱12pの中央に第2細孔20cが形成されている。
次に、実施の形態1に係る成膜装置を用いた成膜方法を説明する。図6は、実施の形態1に係る成膜装置による成膜方法を説明する図である。まず、コントローラ50によってバルブ51、52を開き、第1細孔20aに第1ガスとNガスを供給する。これらのガスは、第1キャビティ20bにて左右方向(水平方向)に広がった後に、複数の第2細孔20cからサセプタ70の上に供給される。これにより、第1ガスが基板72と反応し基板72に膜が形成される。バルブ51、52を開放する期間を第1成膜期間という。
次いで、バルブ52を閉めることで、第1細孔20aに不活性ガス(Nガス)だけを供給する。これにより、第1流路20の第1ガスをパージする。つまり、第1流路20から第1ガスが除去され、不活性ガスだけが存在する状態となる。バルブ51だけを開放する期間を第1パージ期間という。
第1成膜期間と第1パージ期間においては、第1細孔20a、第1キャビティ20b、及び複数の第2細孔20cを経由してサセプタ70の上にガスが提供され、サセプタ70の外縁の外側に広がったガスは、サセプタ70を囲む形状の排気ダクト40によって外部に排気される。
次いで、バルブ51を閉じ、バルブ53、54を開ける。これにより、第3細孔30aに第2ガスとNガスを供給する。第2ガスとNガスは、第2キャビティ30bにて左右方向(水平方向)に広がった後に、複数の第4細孔30cからサセプタ70の上に供給される。これにより、第2ガスが基板72と反応し膜が形成される。バルブ53、54を開放する期間を第2成膜期間という。
次いで、バルブ54を閉めることで、第3細孔30aに不活性ガス(Nガス)だけを供給する。これにより、第2流路30の第2ガスをパージする。つまり、第2流路30から第2ガスが除去され、不活性ガスだけが存在する状態となる。バルブ53だけを開放する期間を第2パージ期間という。
第2成膜期間と第2パージ期間においては、第3細孔30a、第2キャビティ30b、及び複数の第4細孔30cを経由してサセプタ70の上にガスが提供され、サセプタ70の外縁の外側に広がったガスは、排気ダクト40によって外部に排気される。これらの一連の処理を繰り返すことで、第1ガスと第2ガスを交互に基板72に供給する。なお、第1ガスと第2ガスの種類は特に限定されないが、第1ガスは例えばprecursorであり、第2ガスは例えばreactive gasである。
ここで、本発明の特徴の理解を容易にするために比較例について説明する。図7は、比較例に係る成膜装置の断面図である。比較例の成膜装置は第2上壁32の鉛直方向高さが一定である点で、実施の形態1に係る成膜装置と異なる。
図8は、比較例に係る成膜装置の第2パージ期間における第2ガスの濃度を示すシミュレーション結果である。時刻0において第2パージ期間が開始する。r(second cavity)とは、図7における第2キャビティ30dの中央(破線部分)からの距離である。r(second cavity)が0.00mの点は第2キャビティ30dのうち基板72の中央直上の地点を指す。r(second cavity)が0.15mの点は第2キャビティ30dのうち基板72の最外周の直上の地点を指す。図7にはr(second cavity)が0.00、0.05、0.10、0.15[m]の場所が示されている。図8から分かるように、r(second cavity)の値が大きい場所ほど第2ガスが排気されずらい。すなわち、第2キャビティ30dの外側の領域で第2ガスのパージが困難となっている。
r(substrate)は基板の直上における、中央(破線部分)からの距離である。r(substrate)は図7に示されている。r(substrate)が0.00mの点は基板72の中央直上の地点を指す。r(substrate)=0.15mの点は基板72の外縁直上の地点を指す。図8から分かるように、r(substarate)の値が0.15mの地点で第2ガスのパージが遅い。r(substrate)が0.15mの地点におけるパージが遅いのは、r(second cavity)の値が0.15mの地点におけるパージが遅いことに起因すると考えられる。このように、比較例に係る成膜装置では、ガスの流路を迅速にパージできない問題があった。
ところが、本発明の実施の形態1に係る成膜装置によれば、第2パージ期間において第2流路30を迅速にパージできる。このことについて、図9、10を参照して説明する。図9は、第2ガスの濃度をシミュレーションした地点を示す図である。図10は、図9で示す地点における第2ガスの濃度変化を示すシミュレーション結果である。
図10から分かるように、第2キャビティ30bの外周側(r(second cavity)が0.15mとなる地点)で、0.2秒程度で第2ガスがパージされている。また、基板の直上ではr(substrate)の値によらず迅速なパージができている。したがって、第2上壁32の鉛直方向高さを第3細孔30aから離れるほど低くすることで、第2流路30のパージを迅速に行うことができる。なお、第1キャビティ20bは第2キャビティ30bと同じ形状を有しているので、第1流路20も迅速にパージできる。
第1細孔20aは、第1上壁22のうち、サセプタ70の中央直上に形成されている。そのため、第1細孔20aから供給された第1ガスは第1キャビティ20b内を放射状に広がる。第1上壁22の鉛直方向高さを第1細孔20aから離れるほど低くすることで、第1ガスは第1キャビティ20b内を放射状に広がりやすくなっている。このため、第1キャビティ20bの外周側の部分までガスが到達しやすくなっているので、第1流路20を迅速にパージできる。
第3細孔30aは、第2上壁32のうち、サセプタ70の中央直上に形成されている。そのため、第3細孔30aから供給された第2ガスは第2キャビティ30b内を放射状に広がる。第2上壁32の鉛直方向高さを第3細孔30aから離れるほど低くすることで、第2ガスは第2キャビティ30b内を放射状に広がりやすくなっている。このため、第2キャビティ30bの外周側の部分までガスが到達しやすくなっているので、第2流路30を迅速にパージできる。
サセプタ70の上方に設けられたシャワーヘッド10については様々な変形が可能である。例えば、第2細孔20cと第4細孔30cは丸穴に限らず、スリットにしてもよい。不活性ガスはNガスに限定されず例えばArガスでもよい。
これらの変形は以下の実施の形態に係る成膜装置に適宜応用できる。また、以下の実施の形態に係る成膜装置は、実施の形態1との共通点が多いので、実施の形態1との相違点を中心に説明する。
実施の形態2.
図11は、本発明の実施の形態2に係る成膜装置の断面図である。第1流路については実施の形態1の第1流路と同様である。第2流路100は、第3細孔100aと、第2キャビティ100bと、複数の第4細孔100cを備えている。第3細孔100aはミドルプレート14とアッパープレート16に形成された鉛直方向にまっすぐ伸びる細孔である。また、第3細孔100aは第1キャビティ20bの中に設けられた柱を貫通する。第3細孔100aは、第4細孔100cが形成された領域の中央直上と、第4細孔100cが形成された領域の外縁直上との間に複数形成されている。図11には、2つの第3細孔100aが示されている。
第2キャビティ100bは第2上壁102と第2下壁104に囲まれた領域である。第2上壁102は第3細孔100aから離れるほど鉛直方向高さが低くなっている。その結果、第2上壁102は、基板72の中央直上と基板72の外縁直上で鉛直方向高さが最低となっている。第2下壁104の鉛直方向高さは一定である。
実施の形態2の構成によれば、実施の形態1の成膜装置と同様に、ガス流路の迅速なパージが可能となる。第3細孔100aは、ミドルプレート14とアッパープレート16に形成された直線的な穴だけで構成される。したがって、実施の形態1の第3細孔30aのように複雑な穴を形成する必要がない。なお、第3細孔100aの数は複数であれば特に限定されない。
実施の形態3.
図12は、本発明の実施の形態3に係る成膜装置の断面図である。第1流路については実施の形態1の第1流路と同様である。第2流路150は、第3細孔150aと、第2キャビティ150bと、複数の第4細孔150cを備えている。第3細孔150aはベースプレート12に形成された細孔である。
第2キャビティ150bは第2上壁152と第2下壁154に囲まれた領域である。
第2上壁152の外縁部に第3細孔150aが形成されている。第3細孔150aは、シャワーヘッドの上面の外縁側から第2キャビティ150bに至る流路を提供する。図12には、2つの第3細孔150aが示されている。
第2上壁152は第3細孔150aから離れるほど鉛直方向高さが低くなっている。その結果、第2上壁152は、基板72の中央直上で鉛直方向高さが最低となっている。第2下壁154の鉛直方向高さは一定である。
実施の形態3の構成によれば、実施の形態1の成膜装置と同様に、ガス流路の迅速なパージが可能となる。また、第3細孔150aは外部と第2キャビティ150bをつなぐ直線的な穴だけで構成される。したがって、実施の形態1の第3細孔30aのように複雑な穴を形成する必要がない。なお、第3細孔150aの数は複数であれば特に限定されない。
10 シャワーヘッド、 12 ベースプレート、 14 ミドルプレート、 16 アッパープレート、 20 第1流路、 20a 第1細孔、 20b 第1キャビティ、 20c 第2細孔、 22 第1上壁、 24 第1下壁、 30 第2流路、 30a 第3細孔、 30b 第2キャビティ、 30c 第4細孔、 32 第2上壁、 34 第2下壁、 40 排気ダクト、 40b 環状排気路、 50 コントローラ、 70 サセプタ、 72 基板

Claims (10)

  1. サセプタと、
    前記サセプタの上方に設けられ、第1流路と、前記第1流路とは独立した第2流路とが形成されたシャワーヘッドと、を備え、
    前記第1流路は、第1上壁と第1下壁に囲まれた水平方向に広がる第1キャビティと、前記第1上壁に形成された第1細孔と、前記第1下壁に形成された複数の第2細孔と、を有することで前記シャワーヘッドを貫通し、
    前記第1上壁は前記第1細孔から離れるほど鉛直方向高さが低くなり、
    前記第1下壁の鉛直方向高さは一定であり、
    前記第2流路は、第2上壁と第2下壁に囲まれた水平方向に広がる第2キャビティと、前記第2上壁に形成された第3細孔と、前記第2下壁に形成された複数の第4細孔と、を有することで前記シャワーヘッドを貫通し、
    前記第2上壁は前記第3細孔から離れるほど鉛直方向高さが低くなり、
    前記第2下壁の鉛直方向高さは一定であることを特徴とする成膜装置。
  2. 前記第1細孔は、前記第1上壁のうち、前記サセプタの中央直上に形成され、
    前記第3細孔は、前記第2上壁のうち、前記サセプタの中央直上に形成されたことを特徴とする請求項1に記載の成膜装置。
  3. 前記第1細孔は前記シャワーヘッドの上面中央から前記第1キャビティに至る流路を提供し、
    前記第3細孔は前記シャワーヘッドの上面の外縁側から前記第2キャビティに至る流路を提供することを特徴とする請求項1又は2に記載の成膜装置。
  4. 前記第3細孔は、前記第4細孔が形成された領域の中央直上と、前記第4細孔が形成された領域の外縁直上との間に複数形成されたことを特徴とする請求項1に記載の成膜装置。
  5. 前記第3細孔は、鉛直方向に伸びることを特徴とする請求項4に記載の成膜装置。
  6. 前記第3細孔は、前記第2上壁の外縁部に形成され、
    前記第3細孔は、前記シャワーヘッドの上面の外縁側から前記第2キャビティに至る流路を提供することを特徴とする請求項1に記載の成膜装置。
  7. 前記第1細孔、前記第1キャビティ、及び複数の前記第2細孔、又は前記第3細孔、前記第2キャビティ、及び複数の前記第4細孔を経由して前記サセプタの上に提供され、前記サセプタの外縁の外側に広がったガスを排気する、前記シャワーヘッドと前記サセプタを囲む形状の排気ダクトを備えたことを特徴とする請求項1〜6のいずれか1項に記載の成膜装置。
  8. 前記第1細孔に第1ガスを供給することで前記サセプタの上に前記第1ガスを供給し、
    前記第1細孔に不活性ガスを供給することで前記第1流路の前記第1ガスをパージし、
    前記第3細孔に第2ガスを供給することで前記サセプタの上に前記第2ガスを供給し、
    前記第3細孔に不活性ガスを供給することで前記第2流路の前記第2ガスをパージするコントローラを備えたことを特徴とする請求項1〜7のいずれか1項に記載の成膜装置。
  9. 前記第2細孔と前記第4細孔は丸穴であることを特徴とする請求項1〜8のいずれか1項に記載の成膜装置。
  10. 前記サセプタは直径300mm以上のウエハを搭載する大きさであることを特徴とする請求項1〜9のいずれか1項に記載の成膜装置。
JP2015199549A 2014-12-02 2015-10-07 成膜装置 Active JP6619606B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/557,774 2014-12-02
US14/557,774 US9885112B2 (en) 2014-12-02 2014-12-02 Film forming apparatus

Publications (2)

Publication Number Publication Date
JP2016108655A true JP2016108655A (ja) 2016-06-20
JP6619606B2 JP6619606B2 (ja) 2019-12-11

Family

ID=56078815

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015199549A Active JP6619606B2 (ja) 2014-12-02 2015-10-07 成膜装置

Country Status (5)

Country Link
US (1) US9885112B2 (ja)
JP (1) JP6619606B2 (ja)
KR (1) KR20160066520A (ja)
CN (1) CN105648421B (ja)
TW (1) TWI666337B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6702514B1 (ja) * 2018-11-30 2020-06-03 株式会社明電舎 酸化膜形成装置

Families Citing this family (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US11149350B2 (en) * 2018-01-10 2021-10-19 Asm Ip Holding B.V. Shower plate structure for supplying carrier and dry gas
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113169101B (zh) * 2019-01-08 2022-09-30 应用材料公司 用于基板处理腔室的泵送设备与方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) * 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
CN111270221B (zh) * 2020-04-03 2022-07-22 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11447866B2 (en) * 2020-06-17 2022-09-20 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11584993B2 (en) * 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003504842A (ja) * 1999-06-30 2003-02-04 ラム リサーチ コーポレーション 半導体処理用ガス分配装置
JP2004296490A (ja) * 2003-03-25 2004-10-21 Tokyo Electron Ltd 処理装置
JP2008297597A (ja) * 2007-05-31 2008-12-11 Ulvac Japan Ltd シャワーヘッド
JP2009099972A (ja) * 2007-09-27 2009-05-07 Sharp Corp 気相成長装置及び半導体素子の製造方法
JP2012238644A (ja) * 2011-05-10 2012-12-06 Ulvac Japan Ltd ZrBO膜の形成装置
JP2013247716A (ja) * 2012-05-23 2013-12-09 Hitachi Maxell Ltd 二次電池充電システム及び二次電池充電方法
JP2015105405A (ja) * 2013-11-29 2015-06-08 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
KR100286325B1 (ko) * 1997-11-27 2001-05-02 김영환 화학기상증착 장비의 가열장치
US6537420B2 (en) * 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
JP4607474B2 (ja) * 2004-02-12 2011-01-05 東京エレクトロン株式会社 成膜装置
KR100550342B1 (ko) * 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003504842A (ja) * 1999-06-30 2003-02-04 ラム リサーチ コーポレーション 半導体処理用ガス分配装置
JP2004296490A (ja) * 2003-03-25 2004-10-21 Tokyo Electron Ltd 処理装置
JP2008297597A (ja) * 2007-05-31 2008-12-11 Ulvac Japan Ltd シャワーヘッド
JP2009099972A (ja) * 2007-09-27 2009-05-07 Sharp Corp 気相成長装置及び半導体素子の製造方法
JP2012238644A (ja) * 2011-05-10 2012-12-06 Ulvac Japan Ltd ZrBO膜の形成装置
JP2013247716A (ja) * 2012-05-23 2013-12-09 Hitachi Maxell Ltd 二次電池充電システム及び二次電池充電方法
JP2015105405A (ja) * 2013-11-29 2015-06-08 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6702514B1 (ja) * 2018-11-30 2020-06-03 株式会社明電舎 酸化膜形成装置
WO2020110406A1 (ja) * 2018-11-30 2020-06-04 株式会社明電舎 酸化膜形成装置
US11306396B2 (en) 2018-11-30 2022-04-19 Meidensha Corporation Oxide film forming device

Also Published As

Publication number Publication date
TWI666337B (zh) 2019-07-21
CN105648421A (zh) 2016-06-08
KR20160066520A (ko) 2016-06-10
US9885112B2 (en) 2018-02-06
US20160153088A1 (en) 2016-06-02
JP6619606B2 (ja) 2019-12-11
CN105648421B (zh) 2019-06-21
TW201631200A (zh) 2016-09-01

Similar Documents

Publication Publication Date Title
JP6619606B2 (ja) 成膜装置
KR102546317B1 (ko) 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP5501459B2 (ja) 拡散板を選択的に挿入設置する基板処理装置及び基板処理方法
JP6336079B2 (ja) 基板処理装置及び基板処理方法
JP2019186541A (ja) 基板処理方法
US20200381287A1 (en) Apparatus for preventing backside peeling defects on semiconductor wafers
KR102178716B1 (ko) Cvd-반응기의 프로세스 챔버의 벽들을 세척하기 위한 방법
TW200734480A (en) Apparatus and process for plasma-enhanced atomic layer deposition
KR20080026510A (ko) 원자층 증착 장치 및 이를 이용한 원자층 증착 방법
KR102102320B1 (ko) 기판 처리 장치 및 그것을 이용한 박막 증착 방법
TWI822284B (zh) 半導體加工設備
KR102267923B1 (ko) 증착 장치
KR100949913B1 (ko) 원자층 증착 장치
US10472719B2 (en) Nozzle and substrate processing apparatus using same
KR20180013351A (ko) 박막 증착 방법
JP2013197291A (ja) 成膜装置及び成膜方法
KR101004903B1 (ko) 화학 기상 증착 장치
KR20140101049A (ko) 기판 처리 장치
KR100675277B1 (ko) 반도체 제조장치의 샤워헤드
KR101503254B1 (ko) 기판 처리 장치 및 방법
KR100651599B1 (ko) 원자층 증착 장치
KR20060100961A (ko) 샤워헤드 및 이를 구비한 원자층 증착설비
JP2022541372A (ja) 基板処理方法及び基板処理装置
JP2010232376A (ja) 気相成長装置の原料ガス供給ノズル
KR100972112B1 (ko) 배치 방식 반도체 제조 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180604

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190423

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190620

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191112

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191115

R150 Certificate of patent or registration of utility model

Ref document number: 6619606

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250