JP2015019065A5 - - Google Patents

Download PDF

Info

Publication number
JP2015019065A5
JP2015019065A5 JP2014138340A JP2014138340A JP2015019065A5 JP 2015019065 A5 JP2015019065 A5 JP 2015019065A5 JP 2014138340 A JP2014138340 A JP 2014138340A JP 2014138340 A JP2014138340 A JP 2014138340A JP 2015019065 A5 JP2015019065 A5 JP 2015019065A5
Authority
JP
Japan
Prior art keywords
grid
plasma
substrate
gas
grid assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014138340A
Other languages
English (en)
Japanese (ja)
Other versions
JP2015019065A (ja
JP6475430B2 (ja
Filing date
Publication date
Priority claimed from US13/939,709 external-priority patent/US9147581B2/en
Application filed filed Critical
Publication of JP2015019065A publication Critical patent/JP2015019065A/ja
Publication of JP2015019065A5 publication Critical patent/JP2015019065A5/ja
Application granted granted Critical
Publication of JP6475430B2 publication Critical patent/JP6475430B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

JP2014138340A 2013-07-11 2014-07-04 イオン加速器を備えるデュアルチャンバプラズマエッチング装置 Active JP6475430B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/939,709 2013-07-11
US13/939,709 US9147581B2 (en) 2013-07-11 2013-07-11 Dual chamber plasma etcher with ion accelerator

Publications (3)

Publication Number Publication Date
JP2015019065A JP2015019065A (ja) 2015-01-29
JP2015019065A5 true JP2015019065A5 (enExample) 2017-08-10
JP6475430B2 JP6475430B2 (ja) 2019-02-27

Family

ID=52257310

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014138340A Active JP6475430B2 (ja) 2013-07-11 2014-07-04 イオン加速器を備えるデュアルチャンバプラズマエッチング装置

Country Status (6)

Country Link
US (3) US9147581B2 (enExample)
JP (1) JP6475430B2 (enExample)
KR (1) KR102279670B1 (enExample)
CN (2) CN107068559B (enExample)
SG (1) SG10201403999YA (enExample)
TW (1) TWI647757B (enExample)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) * 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
DE102014218254A1 (de) * 2014-09-11 2016-03-17 BSH Hausgeräte GmbH Kondensationstrockner mit einem Temperatursensor, sowie Verfahren zu seinem Betreiben
US9449796B2 (en) 2014-10-24 2016-09-20 Applied Materials, Inc. Plasma processing system including a symmetrical remote plasma source for minimal ion energy
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
JP6184441B2 (ja) * 2015-06-01 2017-08-23 キヤノンアネルバ株式会社 イオンビームエッチング装置、およびイオンビーム発生装置
JP6529371B2 (ja) 2015-07-27 2019-06-12 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10707110B2 (en) 2015-11-23 2020-07-07 Lam Research Corporation Matched TCR joule heater designs for electrostatic chucks
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
JP2019054014A (ja) * 2016-02-02 2019-04-04 セントラル硝子株式会社 エッチング方法及びエッチング装置
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
CN105949836B (zh) * 2016-05-13 2017-06-16 无锡荣坚五金工具有限公司 一种栅控等离子体引发气相聚合表面涂层的装置及方法
US20180053628A1 (en) * 2016-08-18 2018-02-22 Mattson Technology, Inc. Separation Grid for Plasma Chamber
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
KR20180081291A (ko) 2017-01-06 2018-07-16 삼성전자주식회사 이온 빔을 이용한 기판 처리 방법 및 이를 수행하기 위한 장치
CA3229043A1 (en) 2017-01-18 2018-08-09 Shine Technologies, Llc High power ion beam generator systems and methods
US10497567B2 (en) * 2017-08-07 2019-12-03 Applied Materials, Inc. Method of enhanced selectivity of hard mask using plasma treatments
US10264663B1 (en) 2017-10-18 2019-04-16 Lam Research Corporation Matchless plasma source for semiconductor wafer fabrication
CN118380374A (zh) 2017-11-21 2024-07-23 朗姆研究公司 底部边缘环和中部边缘环
KR102244395B1 (ko) 2018-03-30 2021-04-23 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
US11164725B2 (en) * 2018-06-11 2021-11-02 Beijing E-town Semiconductor Technology Co., Ltd. Generation of hydrogen reactive species for processing of workpieces
KR102273084B1 (ko) * 2018-06-29 2021-07-06 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
JP7198609B2 (ja) 2018-08-21 2023-01-04 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11257685B2 (en) * 2018-09-05 2022-02-22 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
CN111326382B (zh) * 2018-12-17 2023-07-18 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
KR102811847B1 (ko) * 2019-02-01 2025-05-22 램 리써치 코포레이션 가스 처리 및 펄싱을 사용한 이온 빔 에칭
CN113519071B (zh) 2019-02-28 2025-04-22 朗姆研究公司 利用侧壁清洁的离子束蚀刻
JP7282910B2 (ja) * 2019-03-14 2023-05-29 ラム リサーチ コーポレーション 高アスペクト比エッチングのためのプラズマエッチングツール
JP6854844B2 (ja) * 2019-05-08 2021-04-07 東京エレクトロン株式会社 エッチング方法及びエッチング装置
KR102619877B1 (ko) 2019-09-11 2024-01-03 삼성전자주식회사 기판 처리 장치
US11424134B2 (en) * 2019-09-19 2022-08-23 Applied Materials, Inc. Atomic layer etching of metals
JP7338355B2 (ja) 2019-09-20 2023-09-05 東京エレクトロン株式会社 エッチング方法、及びエッチング装置
US11158786B2 (en) 2019-09-25 2021-10-26 International Business Machines Corporation MRAM device formation with controlled ion beam etch of MTJ
US20210183627A1 (en) * 2019-12-11 2021-06-17 International Business Machines Corporation Apparatus For Reducing Wafer Contamination During ION-Beam Etching Processes
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US20210234091A1 (en) * 2020-01-24 2021-07-29 Applied Materials, Inc. Magnetic memory and method of fabrication
JP7652085B2 (ja) * 2020-01-30 2025-03-27 株式会社レゾナック エッチング方法
CN115315775A (zh) 2020-03-23 2022-11-08 朗姆研究公司 衬底处理系统中的中环腐蚀补偿
CN111463094B (zh) * 2020-04-16 2023-08-18 北京北方华创微电子装备有限公司 原子层刻蚀设备和原子层刻蚀方法
KR20240000530A (ko) * 2021-04-27 2024-01-02 도쿄엘렉트론가부시키가이샤 성막 장치
CN115020173B (zh) * 2022-08-10 2022-10-28 江苏邑文微电子科技有限公司 电感耦合等离子体刻蚀系统及其刻蚀控制方法
US12469715B2 (en) 2022-10-13 2025-11-11 Applied Materials, Inc. Dry etching with etch byproduct self-cleaning
WO2024238376A1 (en) * 2023-05-18 2024-11-21 Veeco Instruments Inc. Integrated synchronous system for gridded ion sources
CN116748959A (zh) * 2023-07-05 2023-09-15 上海传芯半导体有限公司 衬底的抛光装置、方法以及光掩模基版的制造方法
US20250299926A1 (en) * 2024-03-22 2025-09-25 Applied Materials, Inc. Biasable gas distribution plate

Family Cites Families (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3849276A (en) 1971-03-19 1974-11-19 Ibm Process for forming reactive layers whose thickness is independent of time
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0690811B2 (ja) 1985-09-10 1994-11-14 松下電器産業株式会社 平板状情報記録担体の基板作成方法
JPH01302645A (ja) * 1988-02-08 1989-12-06 Anelva Corp 放電装置
JP2552701B2 (ja) * 1988-02-29 1996-11-13 日本電信電話株式会社 イオン源
JPH02131550U (enExample) 1989-03-31 1990-11-01
JP2643457B2 (ja) 1989-06-28 1997-08-20 三菱電機株式会社 プラズマ処理装置及びその方法
US5009725A (en) 1990-03-30 1991-04-23 Air Products And Chemicals, Inc. Fluxing agents comprising β-diketone and β-ketoimine ligands and a process for using the same
JPH04137727A (ja) 1990-09-28 1992-05-12 Hitachi Ltd イオンビームエッチング方法及びイオンビームエッチング装置
JP3149454B2 (ja) 1991-05-17 2001-03-26 日本電気株式会社 枚葉式プラズマエッチング装置の上部電極
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0689880A (ja) 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
US5374456A (en) 1992-12-23 1994-12-20 Hughes Aircraft Company Surface potential control in plasma processing of materials
US5431774A (en) 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
JPH07335618A (ja) * 1994-06-08 1995-12-22 Nippon Telegr & Teleph Corp <Ntt> プラズマプロセスの方法及びプラズマプロセス装置
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
JPH08107101A (ja) 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JP3353514B2 (ja) 1994-12-09 2002-12-03 ソニー株式会社 プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5849135A (en) 1996-03-12 1998-12-15 The Regents Of The University Of California Particulate contamination removal from wafers using plasmas and mechanical agitation
JP3190830B2 (ja) 1996-07-22 2001-07-23 日本電気株式会社 半導体装置の製造方法
US20040071876A1 (en) 1996-07-25 2004-04-15 Rakhimov Alexandr Tursunovich Method for forming nanocrystalline diamond films for cold electron emission using hot filament reactor
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
JP4043089B2 (ja) 1997-02-24 2008-02-06 株式会社エフオーアイ プラズマ処理装置
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH10242116A (ja) 1997-02-25 1998-09-11 Nkk Corp 平行平板型rie装置
JPH10270429A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
JPH1154717A (ja) 1997-08-06 1999-02-26 Sanyo Electric Co Ltd 誘電体素子の製造方法
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3364675B2 (ja) 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
US6238527B1 (en) 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
JPH11219938A (ja) 1998-02-02 1999-08-10 Matsushita Electron Corp プラズマエッチング方法
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
WO1999040609A1 (en) 1998-02-09 1999-08-12 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000100790A (ja) 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
JP2000208483A (ja) 1999-01-08 2000-07-28 Mitsubishi Electric Corp ウェハ処理装置及びウェハ処理方法
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
JP3948857B2 (ja) 1999-07-14 2007-07-25 株式会社荏原製作所 ビーム源
US6646223B2 (en) 1999-12-28 2003-11-11 Texas Instruments Incorporated Method for improving ash rate uniformity in photoresist ashing process equipment
JP3510174B2 (ja) * 2000-03-01 2004-03-22 住友重機械工業株式会社 イオン発生装置及び成膜装置
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
US6576202B1 (en) 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP2001332534A (ja) 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP3882060B2 (ja) 2000-05-29 2007-02-14 株式会社 東北テクノアーチ 高品質ダイヤモンドの形成方法及びその装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
WO2002014810A2 (en) 2000-08-10 2002-02-21 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
JP2002069634A (ja) 2000-08-29 2002-03-08 Canon Inc 薄膜作製方法および薄膜作製装置
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
JP2002289585A (ja) * 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
US7882800B2 (en) 2001-12-13 2011-02-08 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
JP2005514762A (ja) 2001-12-20 2005-05-19 東京エレクトロン株式会社 加工物をプラズマ処理するための磁気フィルタを備える方法および装置
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
EP1515703A1 (en) 2002-06-21 2005-03-23 Transform Pharmaceuticals, Inc. Pharmaceutical compositions with improved dissolution
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2004153240A (ja) 2002-10-09 2004-05-27 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR100883697B1 (ko) 2002-11-20 2009-02-13 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
JP2004281232A (ja) 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP2004349375A (ja) 2003-05-21 2004-12-09 Nec Kansai Ltd ドライエッチング装置のガス分散板
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
USH2212H1 (en) 2003-09-26 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for producing an ion-ion plasma continuous in time
JP2005116865A (ja) * 2003-10-09 2005-04-28 Canon Inc イオンミリング装置およびイオンミリング方法
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7268084B2 (en) * 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
JP2006236772A (ja) 2005-02-24 2006-09-07 Ebara Corp 中性粒子ビーム源および中性粒子ビーム処理装置
KR100997868B1 (ko) 2005-05-31 2010-12-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
CA2610347A1 (en) 2005-06-09 2006-06-08 Boehringer Ingelheim International Gmbh Alpha-carbolines as cdk-1 inhibitors
JP5072096B2 (ja) 2005-09-09 2012-11-14 株式会社アルバック イオン源およびプラズマ処理装置
KR100653073B1 (ko) * 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7358484B2 (en) 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
JP2007149788A (ja) 2005-11-24 2007-06-14 Aqua Science Kk リモートプラズマ装置
US7335602B2 (en) * 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
GB0616131D0 (en) 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US7998307B2 (en) 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
KR100869359B1 (ko) 2006-09-28 2008-11-19 주식회사 하이닉스반도체 반도체 소자의 리세스 게이트 제조 방법
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US7611936B2 (en) 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
US20090084501A1 (en) 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US7875555B2 (en) 2007-11-29 2011-01-25 Tokyo Electron Limited Method for plasma processing over wide pressure range
EP2249372B1 (en) 2008-03-20 2013-01-02 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
US8185242B2 (en) 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
CN102027767B (zh) 2008-05-16 2015-05-13 日本电气株式会社 通信装置、通信方法以及通信控制程序
US7732759B2 (en) 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
EP2333821A4 (en) 2008-09-01 2014-07-30 Japan Science & Tech Agency PLASMA METHOD, PLASMA COUNTER DEVICE AND METHOD FOR PRODUCING A PHOTONIC CRYSTAL
US8236706B2 (en) 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
JP2010192197A (ja) 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US20100276391A1 (en) 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
JP5216918B2 (ja) 2009-07-16 2013-06-19 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
SG10201500916VA (en) 2010-02-09 2015-04-29 Intevac Inc An adjustable shadow mask assembly for use in solar cell fabrications
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5388915B2 (ja) 2010-03-16 2014-01-15 株式会社東芝 流路開閉装置、および紙葉類処理装置
US20120021136A1 (en) 2010-07-20 2012-01-26 Varian Semiconductor Equipment Associates, Inc. System and method for controlling plasma deposition uniformity
KR101742815B1 (ko) 2010-07-23 2017-06-01 삼성전자 주식회사 Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법
JP5735232B2 (ja) 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
JP2012054304A (ja) * 2010-08-31 2012-03-15 Tokyo Electron Ltd エッチング方法及びエッチング装置
US20120083134A1 (en) * 2010-09-30 2012-04-05 Hui-Jung Wu Method of mitigating substrate damage during deposition processes
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US8709706B2 (en) 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
JP5893864B2 (ja) 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20160358784A1 (en) 2011-09-07 2016-12-08 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
TWI525698B (zh) * 2011-10-31 2016-03-11 Canon Anelva Corp 磁性膜之離子束蝕刻方法及離子束蝕刻裝置
KR20140092892A (ko) 2011-11-08 2014-07-24 어플라이드 머티어리얼스, 인코포레이티드 개선된 증착 균일성을 위한 전구체 분배 피처들
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
CN202633210U (zh) 2012-05-17 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备
TWI467625B (zh) 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9288889B2 (en) 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Similar Documents

Publication Publication Date Title
JP2015019065A5 (enExample)
US20240274408A1 (en) High energy atomic layer etching
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
US9147581B2 (en) Dual chamber plasma etcher with ion accelerator
TWI618139B (zh) 矽-碳-氮化物之選擇性蝕刻
US9287134B2 (en) Titanium oxide etch
US8765574B2 (en) Dry etch process
US9779961B2 (en) Etching method
CN105914144A (zh) 蚀刻方法
US9735027B2 (en) Method for etching organic film
US12230505B2 (en) Etching apparatus
KR20200027568A (ko) 순환적 패시베이션 및 에칭을 사용한 고 종횡비 선택적 측방향 에칭
JP2014209622A5 (enExample)
US9263239B1 (en) Etching method of multilayered film
JP2014204127A5 (enExample)
KR20140068127A (ko) 실리콘과 질소를 모두 함유하는 물질들의 건식-식각 레이트의 선택적인 억제
TW201529898A (zh) 用於高深寬比溝槽的均等鎢蝕刻
JP2015144268A5 (enExample)
US10692726B2 (en) Method for processing workpiece
US20190019685A1 (en) Etching method
CN105810582A (zh) 蚀刻方法
CN105810579A (zh) 蚀刻方法
CN105810581A (zh) 蚀刻方法
US20240429062A1 (en) Increased etch rates of silicon-containing materials