JP2011199287A - フィン型電界効果トランジスタおよびその製造方法 - Google Patents

フィン型電界効果トランジスタおよびその製造方法 Download PDF

Info

Publication number
JP2011199287A
JP2011199287A JP2011057767A JP2011057767A JP2011199287A JP 2011199287 A JP2011199287 A JP 2011199287A JP 2011057767 A JP2011057767 A JP 2011057767A JP 2011057767 A JP2011057767 A JP 2011057767A JP 2011199287 A JP2011199287 A JP 2011199287A
Authority
JP
Japan
Prior art keywords
fins
sti
fin
stressor
finfet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011057767A
Other languages
English (en)
Other versions
JP5452529B2 (ja
Inventor
Chia-Pin Lin
家彬 林
前泰 ▲せん▼
Chien-Tai Chan
Hsien-Chin Lin
獻欽 林
Shyue-Shyh Lin
學仕 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2011199287A publication Critical patent/JP2011199287A/ja
Application granted granted Critical
Publication of JP5452529B2 publication Critical patent/JP5452529B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Abstract

【課題】フィン型電界効果トランジスタおよびその製造方法を提供する。
【解決手段】半導体基板より上に延伸したfinFETの第1および第2のフィン206を、その間にシャロートレンチアイソレーション(STI)領域202、およびSTI領域の上面と第1および第2のフィンの上面との間の距離を有して、形成するステップ、STI領域の上面より上の第1および第2のフィンの上面および側面に第1および第2のフィン延伸部を提供するステップ、STI領域から材料を除去し、STI領域の上面と第1および第2のフィンの上面との間の距離を増加させるステップ、フィンおよびSTI領域上に共形のストレッサ誘電材料214を堆積するステップ、および共形のストレッサ誘電材料をSTI領域の上面より上の第1および第2のフィンの間の空間内に流入するようにリフローし、finFETのチャネルに応力を加えるステップを含む方法。
【選択図】図9A

Description

本発明は、半導体製造に関し、特に、フィン型電界効果トランジスタ(finFETs)およびその製造方法に関するものである。
急速に発展する半導体製造業において、CMOS、相補型金属酸化膜半導体、FinFETデバイスは、多くの論理デバイスおよび他のアプリケーションに好適であり、種々の異なるタイプの半導体デバイスに統合されている。FinFETデバイスは、一般的に、高アスペクト比を有し、かつ半導体トランジスタデバイスのチャネルおよびソース/ドレイン領域が形成されている半導体フィンを含んでいる。より高速で、より信頼度があり、かつより制御された半導体トランジスタデバイスを作製するため、チャネルおよびソース/ドレイン領域の表面積が増加された利点を用いて、ゲートは、フィンデバイスの上部、かつフィンデバイスの側壁に沿って形成される。
FinFETおよび従来の平面トランジスタデバイス(planar transistor devices)において、PMOSデバイスに加えられる圧縮応力は、正孔移動度を有利に向上させている。同様に、NMOSデバイスに加えられる引張応力は、NMOSデバイスの電子移動度を有利に向上させている。平面CMOSデバイスに関して、選択的なSiGeソース/ドレイン構造などの複合ストレッサ(complex stressors)が、PMOSデバイスの正孔移動度を有効に向上させるため用いられ、引張コンタクトエッチングストップ層、コンタクトエッチングストップ層(CESL)、または他の誘電体ストレッサ(dielectric film stressor)は、NMOSデバイスの電子移動度を有効に向上させるのに用いられ、デバイス全体の性能を向上させている。正孔および電子移動度を向上させるこれらの技術と関連した余分なプロセス動作およびコストは、これらの技術をFinFET処理案に統合する試みと関連した努力目標のうちの1つである。
図1Aは、従来のfinFET100の等角図である。フィン106は、半導体基板101(図1C、1D)より上方に高くなった酸化物規定(raised oxide defined, OD)領域106を含む。フィン106は、シャロートレンチアイソレーション(STI)領域102によって互いに分離され、一対のSTI領域102の間に配置される。フィン106は、STI領域102の上面より上にステップ高107を有する。多結晶シリコンゲート電極108は、フィン106上に形成され、かつ多結晶シリコンゲート電極108及びフィン106の間に薄いゲート誘電体層(図示せず)を有する。側壁スペーサ110は、各ゲート電極110の両側に形成され、軽ドープドレイン(LDD)注入(implant)領域(図示せず)を形成するためである。
図1Bは、エピタキシャル成長のステップがフィン106の表面106eを隆起させた後のフィン106の1つを表している。フィン106の上部106eは、基板101の上面の方向と平行した距離109に延伸した横方向延伸部106Lを有する、ほぼ五角形の形状を得る。
図1Cおよび図1Dは、シリコン酸化物ハードマスク112およびダミー側壁スペーサ110の形成後で、エピタキシャルSiGe形成の前の、図1AのfinFET100のX方向(前面)およびY方向(側面)の立面図を表している。
図1Eおよび1Fは、エピタキシャルプロセスを実行した後の、図1AのfinFET100のX方向(前面)およびY方向(側面)の立面図を表している。エピタキシャルプロセスは、フィン106に実行され、finFETのフィン106上にSiGe層106eを形成する。
図1Eに表されるように、finSiGe層106eのエピタキシャルSiGe横方向延伸部106Lは、横方向に互いに延伸し、隣接するフィンの横方向延伸部106L間のウィンドウ(window)106wを減少する。
フィン型電界効果トランジスタおよびその製造方法を提供する。
いくつかの実施の形態において、本方法は、半導体基板より上に延伸したfinFETの第1および第2のフィンを、その間にシャロートレンチアイソレーション(STI)領域、およびSTI領域の上面と第1および第2のフィンの上面との間の距離を有して、形成するステップを含む。第1および第2のフィン延伸部は、STI領域の上面より上の第1および第2のフィンの上面および側面に提供される。材料は、STI領域から除去され、STI領域の上面と第1および第2のフィンの上面との間の距離を増加させる。共形のストレッサ誘電材料は、フィンおよびSTI領域上に堆積される。共形のストレッサ誘電材料は、STI領域の上面より上の第1および第2のフィンの間の空間内に流入するようにリフローされ、finFETのチャネルに応力を加える。
いくつかの実施の形態において、本方法は、半導体基板より上に延伸したfinFETの第1および第2のフィンを、その間にシャロートレンチアイソレーション(STI)領域、およびSTI領域の上面と第1および第2のフィンの上面との間の距離を有して、提供するステップを含む。ゲート電極は、第1および第2のフィン上に形成される。第1および第2のSiGeのフィン延伸部は、STI領域の上面より上の第1および第2のフィンの上面および側面に形成される。材料は、STI領域から除去され、STI領域の上面と第1および第2のフィンの上面との間の距離を増加させる。共形のストレッサ誘電材料は、フィン、ゲート電極、およびSTI領域上に堆積される。共形のストレッサ誘電材料は、STI領域の上面より上の第1および第2のフィンの間に規定された空間内に流入するようにリフローされ、finFETのチャネルに応力を加え、かつゲート電極に隣接するストレッサ材料の薄膜を残して側壁スペーサを形成する。ソースおよびドレイン領域はリフロー後、注入される。
いくつかの実施の形態において、finFETは、半導体基板より上に延伸した第1および第2のフィンを含み、その間にシャロートレンチアイソレーションの誘電材料を有するシャロートレンチアイソレーション(STI)領域、およびSTI誘電材料の上面と第1および第2のフィンの上面との間の距離を有する。ゲート電極は、第1および第2のファン上に提供される。第1および第2のSiGeフィン延伸部は、STI誘電材料の上面より上の第1および第2のフィンの上面および側面に提供される。ストレッサ誘電材料は、STI材料の上面より上の第1および第2のフィンの間に規定された空間に提供され、finFETのチャネル領域に応力を加える。ストレッサ誘電材料の薄膜は、ゲート電極に隣接して提供され、側壁スペーサを形成する。
従来のfinFETの等角図である。 図1Bは、エピタキシャルSiGeが成長した後の図1Aのデバイスのフィンの1つを表している。 フィン上にエピタキシャルSiGeを形成する前および後のfinFETを表している。 フィン上にエピタキシャルSiGeを形成する前および後のfinFETを表している。 フィン上にエピタキシャルSiGeを形成する前および後のfinFETを表している。 フィン上にエピタキシャルSiGeを形成する前および後のfinFETを表している。 フィンおよびゲート電極の形成後の基板を表している。 フィンおよびゲート電極の形成後の基板を表している。 LDD注入後の基板を表している。 LDD注入後の基板を表している。 ダミー側壁スペーサを規定後の基板を表している。 ダミー側壁スペーサを規定後の基板を表している。 エピタキシャルSiGeのフィン延伸部の堆積後を表している。 エピタキシャルSiGeのフィン延伸部の堆積後を表している。 STI誘電体の高さを減少した後の基板を表している。 STI誘電体の高さを減少した後の基板を表している。 ストレッサ材料の薄膜を堆積後の基板を表している。 ストレッサ材料の薄膜を堆積後の基板を表している。 ストレッサ材料をリフロー後の基板を表している。 ストレッサ材料をリフロー後の基板を表している。 ソース/ドレイン注入のプロセスを表している。 ソース/ドレイン注入のプロセスを表している。 図9Aおよび9BのfinFETの実施例を表す写真である。 種々のパラメータの関数としてのチャネル応力の図である。 図11に表されたケースの飽和ドレイン電流(Idsat)の 増幅率(gain)の図である。
この模範的な実施の形態の説明は、添付の図面と併せて解釈されることを意図しており、全ての説明の一部と考えられる。説明において、相対的な用語、例えば“下方”“上方”“水平”“垂直”“上の”“下の”“上”“下”“上部”“底部”などと、その派生語(例えば“水平に”“下方に”“上方に”など)は、討論において述べられたまたは図に示された方向を指すものと解釈されるべきである。これらの相対的な用語は、説明のためであり、特定の方向で装置を動作する必要はない。“接続(connected)”および“相互接続(connected)”などの付着、接合などに関する用語は、構造が介在する構造によって直接的に、または間接的に相互に固定または付着される関係を指しており、特に別に説明されない限り、可動的な、または固定した両方の関係も指している。
これらの図面は一定の比率で描かれていない。
発明者は、進化した技術ノード(例えば、22nm以下)に対して、finFETのフィン上のエピタキシャルSiGeの形成は、NMOSおよびPMOSトランジスタの隣接するフィンの横方向延伸部間のウィンドウ(窓)をとても厳しく狭めるので、橋絡(bridging)が起こる可能性があることを発見した。この橋絡は、ダイを分離(singulate)する能力を妨げる。完全な橋絡はないとしても、隣接するフィン間のウィンドウの狭化は、アクティブデバイスのプロセスの完了後に堆積される第1の金属層間誘電体(IMD)層に空洞(void)を形成する可能性がある。このような空洞は、隣接するNMOSおよびPMOSのフィン延伸部の間、かつ下方の空間に生じ得る。
発明者が先端技術の設計にて生じることを発見した他の問題は、超狭(Ultra-narrow)のフィン幅(小体積)を有するfinFETに関する選択エピタキシャル成長の技術の低効率である。いくつかの設計(例えば犬の骨構造を有するfinFET)において、ゲートダミー側壁スペーサのプロセスを実行することがより困難になる可能性がある。
ここでは、SiGeエピタキシャル層がフィンの上部に形成され、STI誘電材料の表面は、フィンの上部付近の第1の高さにある実施例が説明される。フィンの上部とSTI材料の上部間の減少した距離により、結果として生じるSiGe薄膜は、より短い横方向延伸部を有する。その結果、隣接するフィン延伸部間のウィンドウの減少はより小さくなり、隣接するフィンの横方向延伸部は、溶け合わない。エピタキシャルSiGeを堆積した後、STI誘電体の高さは、第2の高さに下げられ、ストレッサ材料は、共形の薄膜(conformal film)の形でデバイス上に加えられる。ストレッサ材料は、フィンと上述のSTI誘電材料間の空間を少なくとも部分的に充填するようにリフローされる。いくつかの実施の形態において、共形の薄膜の一部は、finFETのゲート電極に隣接して残り、側壁スペーサを形成する。
図2A〜9Bは、finFET200を形成する模範的な統合方法を表している。このプロセスは、追加のマスクまたはフォトリソグラフィーステップを必要とすることなく、チャネル応力が増加されるようにする。各一対の隣接の図(2Aおよび2B、3Aおよび3B、4Aおよび4B、5Aおよび5B、6Aおよび6B、7Aおよび7B、8Aおよび8B、9Aおよび9B)は、プロセスのそれぞれの段階でfinFETのX方向およびY方向の図を提供する。XとY方向は、図1Aに表示された同じ方向である。
図2Aおよび2Bを参照して、半導体基板201より上に延伸したfinFET200の第1および第2のフィン206を形成した後の構成を表している。ゲート誘電体層(図示されていない)およびゲート電極208は、フィン206上に形成される。ハードマスク層212は、ゲート電極上に形成される。シャロートレンチアイソレーション(STI)領域202は、フィン206の間に形成される。第1の距離207aは、STI領域202の上面と第1および第2のフィン206の上面との間に規定される。第1の距離207aは、図1Cに示される高さ107より約15〜20nmだけ小さい。即ち、STI領域202の上面の高さ(フィン106の上部に対して)は、STI領域102の高さより、約15〜20nmだけ高い(フィン206の上部に対して)。例えば、いくつかの実施の形態において、第1の距離207aは、約80nmとなり得る(図1Cの対応する距離107は、約100nmとなり得る場合である)。
半導体基板201は、バルクシリコン、バルクシリコンゲルマニウム(SiGe)、または他のIII−V族化合物基板であってもよい。基板は、単一のSTI202領域しか図に表されていないが、それぞれの一対のフィン206の間に複数のSTI領域202を有する数個のフィン206を含む。
STI形成は、一般的にSi基板上の凹部形成を含み、低圧化学気相蒸着(LPCVD)またはプラズマ化学気相蒸着(PECVD)などのCVDプロセスを用いて誘電体層を形成し、次いで化学機械研磨(CMP)を用いて余分なSTI誘電体層を除去する。STI領域は、TEOS、SiO、SiNなどで充填され得る。STI領域は、さまざまなプロセスによって形成され得る。1つの実施の形態において、STIの誘電体は、500℃以上の温度でLPCVDプロセスによって堆積される。
図3A、3Bは、軽ドープドレイン(LDD)/ポケット注入ステップ203を表しており、少量のドーパントをチャネル領域に隣接するソースドレイン領域内に注入する。リン、ホウ素、または同様の材料などのドーパントが用いられ得る。LDD注入の後、基板がアニールされる。
図4Aおよび4Bは、ダミー側壁(DSW)210、211の形成を表している。なお、DSW211層は、SiGeの堆積のプロセス後に除去されるため、図5Bに示されない。ダミー側壁スペーサ210および211は、酸化物および窒化物の連続的した共形層を堆積し、異方性エッチング(例えばドライエッチング)を用いて、ゲート電極に隣接した垂直面上に両方の層を保持したまま、全ての水平面から酸化物および窒化物を除去することで形成され得る。
図5Aおよび図5Bは、STI領域202の上面より上の第1および第2のフィン206の上面および側面上のエピタキシャルSiGeのフィン延伸層206eの堆積を表している。STI領域202の上面と第1および第2のフィン206の上面との間の比較的小さい第2の高さ207aの結果として、フィン延伸部206eの横方向延伸部206Lは、図1Dのフィン延伸部106eの横方向延伸部ほど互いの方へ水平に延伸しない。よって、フィン延伸部206e間のウィンドウ206wは、フィン延伸部106e間のウィンドウ106wより大きい。次いで、ダミー側壁層211が除去され、よって図5Aおよび5Bに表されない。
図6Aおよび6Bは、STI領域202から材料を除去して、STI領域202の上面と第1および第2のフィン206の上面との間の距離を第1の距離207aから第2の距離207bに増加させるプロセスを表している。第2の距離207bは、第1の距離207aより約15〜20nm大きい。いくつかの実施の形態において、STI誘電体層は、希釈したフッ化水素の溶液に基板を浸漬させることで除去される。他の代替するエッチング液がゲート構造またはSiGe延伸部206eをエッチングすることなく、STI誘電体の一部を選択的に除去するように用いられてもよい。STI領域202から材料を除去するステップは、STI領域の上面をフィン延伸部206eの底部より下の距離(H1〜H2)に下げるステップを含む。
図7Aおよび7Bは、フィン206およびSTI領域202、およびfinFET200のゲート電極上に共形のストレッサ誘電材料(conformal stressor dielectric material)214を堆積するプロセスを表している。ストレッサ誘電材料214は、下方のSTI誘電材料202と十分に異なる格子間隔を有してチャネルに圧縮または引張応力を発生させる。例えば、PMOSトランジスタの実施例では、SiNxなどのストレッサ材料を用いて圧縮応力を発生させることが望ましい。他の実施の形態において、NMOSトランジスタでは、ストレッサ材料がチャネルを引張応力に置くため用いられ得る。SiOxの層は、NMOSに引張応力を作り出すため適用され得る。ストレッサ膜は、原子層堆積(ALD)、化学気相蒸着(CVD)、低圧CVD(LPCVD)、プラズマCVD(LPCVD)、または同様のものを含むさまざまな等方性の方法によって堆積され得る。図7Aおよび7Bの実施例において、ストレッサ材料214は、PECVDによって堆積されたシリコン窒化膜である。堆積時に、ストレッサ材料薄膜214の厚さT1が選択され、図8Aおよび8Bに示されたリフローステップの後、STI領域202上のストレッサ材料214rが所望の厚さT2を有して、所望の応力の量を分与する。例えば、堆積される共形膜214の厚さT1は、T1=T2(A2/A1)により近似され得る。
なお、T1は、堆積された共形膜の厚さであり、
T2は、ストレッサ膜の所望の最終の厚さであり、
A2は、STI領域の表面積であり、かつ
A1は、共形膜214が始めに堆積された水平表面積である。
図8Aおよび8Bは、共形のストレッサ誘電材料214をリフローし、STI領域202の上面より上の第1と第2フィン間の空間に流入させ、ストレッサ層214rを形成してfinFETのチャネルに応力を加えるプロセスを表している。いくつかの実施の形態において、リフローステップは、ゲート電極208に隣接したストレッサ材料の垂直薄膜214sを残し、側壁スペーサを形成する。リフローされたストレッサ材料(例えばSiNx)層214rがSTI誘電体(例えばSiOx)202の上面にある状態で、コンタクトエッチングストップ層(CESL)に約1GPa〜約3GPaの圧縮応力が加えられる。いくつかの実施の形態では、コンタクトエッチングストップ層への圧縮応力は、1.5GPa〜約3GPaの範囲内に調整される。いくつかの実施の形態において、応力は約2.3GPaである。図8Aに示された距離T3およびT4(凹部の深さ)は、変数であり、図11および12の説明で述べられる。
いくつかの実施の形態において、PECVDによって堆積されているストレッサ材料214は、基板を約300℃に加熱されることでリフローされ得る。他の実施の形態において、リフローは、異方性プラズマエッチングステップにより行われる。リフローのステップは、ストレッサ材料214の十分な量をフィンOD206の上部およびハードマスク212からフィン206とSTI領域202の上方との間の空間内に流入させるステップを含み、この空間をフィン延伸部206eの底部より上の高さT2に、少なくとも部分的に充填する。
図9Aおよび9Bは、側壁スペーサ214sを形成後のソースおよびドレインドーパント注入217を行うプロセスを表している。
図10は、上述の方法に基づいて形成されたfinFETの実施例を表す写真である。コンタクトエッチングストップ層(CESL)220および層間誘電体(ILD)230も示される。STI領域202の上面は、約100nm幅であるため、フィンSiGe延伸部206eは、隣接するフィン延伸部の間に十分なウィンドウを有し、延伸部206eの間および延伸部206eの下方にある上敷きの層間誘電体230に空洞が形成するのを避ける。フィン延伸部間のウィンドウも分離(singulation)を促進するのに十分である。
図11および12は、ここに説明された寸法を用いた模擬データに基づいている。図11は、SiGeフィン延伸部の底部より上のストレッサ材料の厚さT3およびSiGeフィン延伸部の底部より下のストレッサ材料の厚さT4(図6Aおよび図6Bで除去されたSTI誘電材料の厚さに対応する)の関数としてチャネル応力を表している。曲線1101は、T3=15nmを有し1.5GPaの応力のあるストレッサ膜に対する凹部の深さT4(図8A、9A)の関数としてfin FETのチャネル応力を表している。曲線1102は、T3=15nmを有し3GPaの応力のあるストレッサ膜に対する凹部の深さT4の関数としてfin FETのチャネル応力を表している。曲線1103は、T3=7nmを有し1.5GPaの応力のあるストレッサ膜に対する凹部の深さT4の関数としてfin FETのチャネル応力を表している。曲線1104は、T3=5nmを有し1.5GPaの応力のあるストレッサ膜に対する凹部の深さT4の関数としてfin FETのチャネル応力を表している。曲線1105は、T3=7nmを有し3GPaの応力のあるストレッサ膜に対する凹部の深さT4の関数としてfin FETのチャネル応力を表している。曲線1106は、T3=5nmを有し3GPaの応力のあるストレッサ膜に対する凹部の深さT4の関数としてfin FETのチャネル応力を表している。
図12は、図11に示された6つのケースのPMOSの飽和電流(Idsat)の増加率を表している。曲線1201〜1206の記号は、各曲線1101〜1106の記号と同じである。曲線1201は、T3=15nmを有し1.5GPaのCESL応力のあるストレッサ膜に対する凹部の深さT4の関数としてfinFETのIdsatの変化を表している。曲線1202は、T3=15nmを有し3GPaのCESL応力のあるストレッサ膜に対する凹部の深さT4の関数としてfinFETのIdsatの変化を表している。曲線1203は、T3=7nmを有し1.5GPaのCESL応力のあるストレッサ膜に対する凹部の深さT4の関数としてfinFETのIdsatの変化を表している。曲線1204は、T3=5nmを有し1.5GPaのCESL応力のあるストレッサ膜に対する凹部の深さT4の関数としてfinFETのIdsatの変化を表している。曲線1205は、T3=7nmを有し3GPaのCESL応力のあるストレッサ膜に対する凹部の深さT4の関数としてfinFETのIdsatの変化を表している。曲線1206は、T3=5nmを有し3GPaのCESL応力のあるストレッサ膜に対する凹部の深さT4の関数としてfinFETのIdsatの変化を表している。曲線1202、1205、および1206は、3GPaのCESL圧縮応力および約20nmの凹部の深さT4に対して、8%のIdsatの増加率が達成できることを示す。
以上、本発明の好適な実施の形態を例示したが、これは本発明を限定するものではなく、本発明の精神及び範囲を逸脱しない限りにおいては、当業者であれば行い得る少々の変更や修飾を付加することが可能である。従って、本発明が請求する保護範囲は、特許請求の範囲を基準とする。
100 フィン型電界効果トランジスタ(finFETs)
101 半導体基板
102 シャロートレンチアイソレーション(STI)領域
106 フィン
106e シリコンゲルマニウム(SiGe)層
106L 横方向延伸部
106w ウィンドウ(window)
107 ステップ高
108 多結晶シリコンゲート電極
109 距離
110 側壁スペーサ
112 シリコン酸化物ハードマスク
200 フィン型電界効果トランジスタ(finFETs)
201 半導体基板
202 シャロートレンチアイソレーション(STI)領域
203 軽ドープドレイン(LDD)/ポケット注入ステップ
206 フィン
206e シリコンゲルマニウム(SiGe)層
206L 横方向延伸部
206w ウィンドウ(window)
207a 第1距離
207b 第2距離
208 ゲート電極
210、211 ダミー側壁(DSW)
212 ハードマスク層
214 共形のストレッサ誘電材料
214r ストレッサ層
214s 垂直薄膜(または側壁スペーサ)
217 ソースおよびドレインドーパント注入
220 コンタクトエッチングストップ層(CESL)
230 層間誘電体(ILD)
H、H 距離
T1 ストレッサ材料薄膜の厚さ
T2 T3およびT4の厚さ
T3 フィン延伸部の底部より上のストレッサ材料の厚さ
T4 フィン延伸部の底部より下のストレッサ材料の厚さ
1101〜1106、1201〜1206 曲線

Claims (10)

  1. 半導体基板より上に延伸したfinFETの第1および第2のフィンを、その間にシャロートレンチアイソレーション(STI)領域、および前記STI領域の上面と前記第1および第2のフィンの上面との間の距離を有して、形成するステップ、
    前記STI領域の上面より上に前記第1および第2のフィンの上面および側面に第1および第2のフィン延伸部を提供するステップ、
    前記STI領域から材料を除去し、前記STI領域の上面と前記第1および第2のフィンの上面との間の距離を増加させるステップ、
    前記フィンおよびSTI領域上に共形のストレッサ誘電材料を堆積するステップ、および
    前記共形のストレッサ誘電材料を前記STI領域の上面より上の前記第1および第2のフィンの間の空間内に流入するようにリフローし、前記finFETのチャネルに応力を加えるステップを含む方法。
  2. 前記堆積のステップは、前記共形のストレッサ誘電材料を前記finFETのゲート電極上に堆積するステップを含む請求項1に記載の方法。
  3. 前記リフローのステップは、前記ゲート電極に隣接する前記ストレッサ材料の薄膜を残し、側壁スペーサを形成する請求項2に記載の方法。
  4. 前記側壁スペーサを形成後、ソースおよびドレインドーパント注入を行うステップを更に含む請求項3に記載の方法。
  5. 前記フィン延伸部を提供するステップは、SiGe薄膜を前記第1および第2のフィンの上面および側面上に堆積するステップを含む請求項1ないし4の何れかに記載の方法。
  6. 前記材料を除去するステップは、前記STI領域の上面を前記フィン延伸部の底部より下の距離だけ下げるステップを含む請求項1ないし5の何れかに記載の方法。
  7. 前記リフローのステップは、前記ストレッサ材料の十分な量を前記STI領域の上部の空間内に流入し、前記空間を前記フィン延伸部の底部より上の高さまで、少なくとも部分的に充填するステップを含む請求項6に記載の方法。
  8. 前記ストレッサ材料は、約1GPa〜約3GPaの圧縮応力を前記フィン延伸部上のコンタクトエッチングストップ層(CESL)に加える請求項1ないし7の何れかに記載の方法。
  9. 半導体基板より上に延伸した第1および第2のフィンであって、その間にシャロートレンチアイソレーションの誘電材料を有するシャロートレンチアイソレーション(STI)領域、および前記STI誘電材料の上面と前記第1および第2のフィンの上面との間の距離を有すること、
    前記第1および第2のファン上のゲート電極、
    前記STI誘電材料の上面より上の、前記第1および第2のフィンの上面および側面にある第1および第2のSiGeフィン延伸部、
    前記STI材料の上面より上の、前記第1および第2のフィンの間に規定された空間にあり、前記finFETのチャネル領域に応力を加えるストレッサ誘電材料、および
    前記ゲート電極に隣接し、側壁スペーサを形成するストレッサ誘電材料の薄膜を含むfinFET。
  10. 前記ストレッサ材料の上面は、前記SiGeフィン延伸部の底部より上にある請求項9に記載のfinFET。
JP2011057767A 2010-03-17 2011-03-16 フィン型電界効果トランジスタおよびその製造方法 Active JP5452529B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/725,554 US9312179B2 (en) 2010-03-17 2010-03-17 Method of making a finFET, and finFET formed by the method
US12/725,554 2010-03-17

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013201824A Division JP5744145B2 (ja) 2010-03-17 2013-09-27 フィン型電界効果トランジスタおよびその製造方法

Publications (2)

Publication Number Publication Date
JP2011199287A true JP2011199287A (ja) 2011-10-06
JP5452529B2 JP5452529B2 (ja) 2014-03-26

Family

ID=44602580

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2011057767A Active JP5452529B2 (ja) 2010-03-17 2011-03-16 フィン型電界効果トランジスタおよびその製造方法
JP2013201824A Active JP5744145B2 (ja) 2010-03-17 2013-09-27 フィン型電界効果トランジスタおよびその製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013201824A Active JP5744145B2 (ja) 2010-03-17 2013-09-27 フィン型電界効果トランジスタおよびその製造方法

Country Status (4)

Country Link
US (3) US9312179B2 (ja)
JP (2) JP5452529B2 (ja)
KR (1) KR101154915B1 (ja)
CN (1) CN102194756B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8884298B2 (en) 2012-06-25 2014-11-11 Samsung Electronics Co., Ltd. Semiconductor device having embedded strain-inducing pattern and method of forming the same
US9024364B2 (en) 2012-03-12 2015-05-05 Kabushiki Kaisha Toshiba Fin-FET with mechanical stress of the fin perpendicular to the substrate direction

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9312179B2 (en) * 2010-03-17 2016-04-12 Taiwan-Semiconductor Manufacturing Co., Ltd. Method of making a finFET, and finFET formed by the method
CN107068753B (zh) * 2011-12-19 2020-09-04 英特尔公司 通过部分熔化升高的源极-漏极的晶体管的脉冲激光退火工艺
KR101700213B1 (ko) * 2011-12-21 2017-01-26 인텔 코포레이션 금속 산화물 반도체 소자 구조용 핀의 형성 방법
CN103177965B (zh) * 2011-12-22 2015-11-25 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US8664060B2 (en) * 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
CN103296083A (zh) * 2012-02-27 2013-09-11 中国科学院微电子研究所 半导体场效应晶体管及其制作方法
CN103367253B (zh) * 2012-03-29 2015-03-11 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN103367160B (zh) * 2012-03-31 2015-09-02 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US8946782B2 (en) 2012-04-19 2015-02-03 International Business Machines Corporation Method for keyhole repair in replacement metal gate integration through the use of a printable dielectric
US9023715B2 (en) 2012-04-24 2015-05-05 Globalfoundries Inc. Methods of forming bulk FinFET devices so as to reduce punch through leakage currents
US8586455B1 (en) * 2012-05-15 2013-11-19 International Business Machines Corporation Preventing shorting of adjacent devices
US8669147B2 (en) 2012-06-11 2014-03-11 Globalfoundries Inc. Methods of forming high mobility fin channels on three dimensional semiconductor devices
CN103594512B (zh) 2012-08-16 2017-09-05 中国科学院微电子研究所 半导体器件及其制造方法
US20140131777A1 (en) * 2012-11-15 2014-05-15 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with salicide contacts on non-planar source/drain regions
US8987790B2 (en) 2012-11-26 2015-03-24 International Business Machines Corporation Fin isolation in multi-gate field effect transistors
US8956942B2 (en) 2012-12-21 2015-02-17 Stmicroelectronics, Inc. Method of forming a fully substrate-isolated FinFET transistor
CN104078466B (zh) * 2013-03-26 2017-02-08 中国科学院微电子研究所 Flash器件及其制造方法
KR102038486B1 (ko) * 2013-04-09 2019-10-30 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN104124157B (zh) * 2013-04-23 2016-12-28 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN104241289B (zh) * 2013-06-20 2018-11-13 中国科学院微电子研究所 存储器件及其制造方法
CN104347508B (zh) * 2013-07-24 2017-05-17 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN104347709B (zh) * 2013-08-09 2018-09-04 联华电子股份有限公司 半导体装置
US9141745B2 (en) 2013-10-31 2015-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for designing Fin-FET semiconductor device
US9112030B2 (en) * 2013-11-04 2015-08-18 United Microelectronics Corp. Epitaxial structure and process thereof for non-planar transistor
US9496282B2 (en) 2013-12-02 2016-11-15 International Business Machines Corporation Structure and method to reduce crystal defects in epitaxial fin merge using nitride deposition
US9312273B2 (en) 2013-12-02 2016-04-12 International Business Machines Corporation Structure and method to reduce crystal defects in epitaxial fin merge using nitride deposition
US9502504B2 (en) 2013-12-19 2016-11-22 International Business Machines Corporation SOI lateral bipolar transistors having surrounding extrinsic base portions
KR102157839B1 (ko) 2014-01-21 2020-09-18 삼성전자주식회사 핀-전계효과 트랜지스터의 소오스/드레인 영역들을 선택적으로 성장시키는 방법
CN105336587B (zh) * 2014-06-17 2018-05-15 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
KR102094535B1 (ko) 2014-03-21 2020-03-30 삼성전자주식회사 트랜지스터 및 그 제조 방법
US9184290B2 (en) 2014-04-02 2015-11-10 International Business Machines Corporation Method of forming well-controlled extension profile in MOSFET by silicon germanium based sacrificial layer
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9793356B2 (en) 2014-09-12 2017-10-17 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
CN105470286B (zh) * 2014-09-12 2018-09-18 中国科学院微电子研究所 半导体器件及其制造方法
KR102255174B1 (ko) 2014-10-10 2021-05-24 삼성전자주식회사 활성 영역을 갖는 반도체 소자 및 그 형성 방법
US20160111514A1 (en) * 2014-10-15 2016-04-21 Globalfoundries Inc. Ultra-low resistance gate structure for non-planar device via minimized work function material
US9577099B2 (en) * 2015-03-09 2017-02-21 Globalfoundries Inc. Diamond shaped source drain epitaxy with underlying buffer layer
KR102340329B1 (ko) * 2015-03-25 2021-12-21 삼성전자주식회사 반도체 소자
KR102365305B1 (ko) * 2015-03-27 2022-02-22 삼성전자주식회사 반도체 소자
CN106158748B (zh) * 2015-04-07 2022-01-18 联华电子股份有限公司 半导体元件及其制作方法
KR20160143942A (ko) 2015-06-04 2016-12-15 삼성전자주식회사 반도체 소자의 제조 방법
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
US10374088B2 (en) 2015-06-16 2019-08-06 International Business Machines Corporation Low parasitic capacitance and resistance finFET device
US9685553B2 (en) * 2015-06-22 2017-06-20 Globalfoundries Inc. Generating tensile strain in bulk finFET channel
US9953881B2 (en) 2015-07-20 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device
US9431521B1 (en) * 2015-09-18 2016-08-30 International Business Machines Corporation Stress memorization technique for strain coupling enhancement in bulk finFET device
KR102427326B1 (ko) 2015-10-26 2022-08-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11018259B2 (en) 2015-12-17 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device comprising gate structure and doped gate spacer
US10157856B2 (en) * 2016-05-31 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring structure and fabrication method therefor
US10326020B2 (en) * 2016-08-09 2019-06-18 International Business Machines Corporation Structure and method for forming strained FinFET by cladding stressors
CN107785315B (zh) * 2016-08-26 2020-05-08 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9935102B1 (en) 2016-10-05 2018-04-03 International Business Machines Corporation Method and structure for improving vertical transistor
US9773922B1 (en) * 2016-10-28 2017-09-26 United Microelectronics Corp. Memory device
US10269647B2 (en) * 2017-01-20 2019-04-23 Applied Materials, Inc. Self-aligned EPI contact flow
US10685880B2 (en) 2017-08-30 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for reducing contact depth variation in semiconductor fabrication
US10522409B2 (en) * 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with dummy fin structure and method for forming the same
US10504782B2 (en) 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin Field-Effect Transistor device and method of forming the same
DE102018122654A1 (de) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Finnenfeldeffekttransistorvorrichtung und verfahren zum bilden derselben
US10403551B2 (en) * 2017-11-08 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain features with an etch stop layer
CN110047926B (zh) * 2018-01-15 2023-08-29 联华电子股份有限公司 半导体装置以及其制作方法
DE102019121270B4 (de) * 2018-09-28 2024-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Bildungsverfahren einer Halbleitervorrichtung mit Finnenstrukturen
CN113764348B (zh) * 2021-09-07 2023-06-16 上海集成电路装备材料产业创新中心有限公司 鳍式半导体器件的制备方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006507681A (ja) * 2002-11-25 2006-03-02 インターナショナル・ビジネス・マシーンズ・コーポレーション 歪みFinFETCMOSデバイス構造
JP2007207837A (ja) * 2006-01-31 2007-08-16 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2007242737A (ja) * 2006-03-06 2007-09-20 Toshiba Corp 半導体装置
JP2009032955A (ja) * 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
JP2009054705A (ja) * 2007-08-24 2009-03-12 Toshiba Corp 半導体基板、半導体装置およびその製造方法
JP2009267021A (ja) * 2008-04-24 2009-11-12 Toshiba Corp 半導体装置及びその製造方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030230778A1 (en) 2002-01-30 2003-12-18 Sumitomo Mitsubishi Silicon Corporation SOI structure having a SiGe Layer interposed between the silicon and the insulator
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6815738B2 (en) 2003-02-28 2004-11-09 International Business Machines Corporation Multiple gate MOSFET structure with strained Si Fin body
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
JP4441488B2 (ja) * 2003-12-25 2010-03-31 富士通マイクロエレクトロニクス株式会社 半導体装置および半導体集積回路装置
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
US7122412B2 (en) 2004-04-30 2006-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a necked FINFET device
US7355233B2 (en) 2004-05-12 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US6969659B1 (en) 2004-08-12 2005-11-29 International Business Machines Corporation FinFETs (Fin Field Effect Transistors)
US7244640B2 (en) 2004-10-19 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a body contact in a Finfet structure and a device including the same
US7224033B2 (en) * 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
JP2006351975A (ja) * 2005-06-20 2006-12-28 Renesas Technology Corp 半導体装置およびその製造方法
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US20070060326A1 (en) 2005-08-31 2007-03-15 Idx, Inc. Method of cashless gaming and player tracking
US7589387B2 (en) 2005-10-05 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. SONOS type two-bit FinFET flash memory cell
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
JP4635897B2 (ja) 2006-02-15 2011-02-23 株式会社東芝 半導体装置及びその製造方法
US7517764B2 (en) * 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
US8946811B2 (en) 2006-07-10 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Body-tied, strained-channel multi-gate device and methods of manufacturing same
KR100858882B1 (ko) * 2007-03-19 2008-09-17 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
US8174073B2 (en) 2007-05-30 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structures with multiple FinFETs
US7939862B2 (en) * 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
US7939889B2 (en) * 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
US7833889B2 (en) 2008-03-14 2010-11-16 Intel Corporation Apparatus and methods for improving multi-gate device performance
US7700449B2 (en) * 2008-06-20 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Forming ESD diodes and BJTs using FinFET compatible processes
US9312179B2 (en) * 2010-03-17 2016-04-12 Taiwan-Semiconductor Manufacturing Co., Ltd. Method of making a finFET, and finFET formed by the method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006507681A (ja) * 2002-11-25 2006-03-02 インターナショナル・ビジネス・マシーンズ・コーポレーション 歪みFinFETCMOSデバイス構造
JP2007207837A (ja) * 2006-01-31 2007-08-16 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2007242737A (ja) * 2006-03-06 2007-09-20 Toshiba Corp 半導体装置
JP2009032955A (ja) * 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
JP2009054705A (ja) * 2007-08-24 2009-03-12 Toshiba Corp 半導体基板、半導体装置およびその製造方法
JP2009267021A (ja) * 2008-04-24 2009-11-12 Toshiba Corp 半導体装置及びその製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9024364B2 (en) 2012-03-12 2015-05-05 Kabushiki Kaisha Toshiba Fin-FET with mechanical stress of the fin perpendicular to the substrate direction
US9252277B2 (en) 2012-03-12 2016-02-02 Kabushiki Kaisha Toshiba Semiconductor device
US8884298B2 (en) 2012-06-25 2014-11-11 Samsung Electronics Co., Ltd. Semiconductor device having embedded strain-inducing pattern and method of forming the same
US8962435B2 (en) 2012-06-25 2015-02-24 Samsung Electronics Co., Ltd. Method of forming semiconductor device having embedded strain-inducing pattern
US9240481B2 (en) 2012-06-25 2016-01-19 Samsung Electronics Co., Ltd. Semiconductor device having embedded strain-inducing pattern

Also Published As

Publication number Publication date
US10515856B2 (en) 2019-12-24
US20110227162A1 (en) 2011-09-22
US9312179B2 (en) 2016-04-12
JP5452529B2 (ja) 2014-03-26
JP5744145B2 (ja) 2015-07-01
CN102194756A (zh) 2011-09-21
JP2014017515A (ja) 2014-01-30
KR20110104865A (ko) 2011-09-23
US20160204255A1 (en) 2016-07-14
KR101154915B1 (ko) 2012-06-13
US10224245B2 (en) 2019-03-05
US20190181048A1 (en) 2019-06-13
CN102194756B (zh) 2013-06-19

Similar Documents

Publication Publication Date Title
JP5452529B2 (ja) フィン型電界効果トランジスタおよびその製造方法
KR101153158B1 (ko) Finfet 장치에 대한 듀얼-에피택셜 프로세스
JP6951903B2 (ja) 半導体素子のための拡張領域
TWI481032B (zh) 半導體元件與其形成方法
KR101683985B1 (ko) 매립된 절연체층을 가진 finfet 디바이스
US7442618B2 (en) Method to engineer etch profiles in Si substrate for advanced semiconductor devices
TWI545761B (zh) 半導體元件與其形成方法及p型金氧半電晶體
CN106653751B (zh) 半导体器件及其制造方法
JP2006121074A (ja) 半導体素子及びその製造方法
US20160049467A1 (en) Fin field effect transistor device and fabrication method thereof
JP2007123898A (ja) チップ、fet製造方法(誘電体ストレッサ要素を有するトランジスタ)
TWI807067B (zh) 半導體結構與其形成方法、鰭狀場效電晶體裝置、與閘極結構
CN107123670B (zh) 鳍式场效应晶体管及其形成方法
JP6158132B2 (ja) トランジスタデバイスのゲート金属層を設ける方法および関連するトランジスタ
US7858489B2 (en) Method for manufacturing semiconductor device capable of increasing current drivability of PMOS transistor
JP6948099B2 (ja) マルチ閾値PMOSトランジスタのための埋め込みSiGeプロセス
CN106328501B (zh) 半导体器件的制造方法
CN106960789B (zh) 半导体器件以及改善半导体器件性能的方法
CN111477548B (zh) 鳍式场效应晶体管的形成方法
TWI485783B (zh) 具有封裝的壓力源區域的半導體裝置及製作方法
CN102938376B (zh) Mosfet及其形成方法
KR20060077939A (ko) 반도체 소자의 제조 방법
KR20090050637A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130422

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130604

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130927

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20131113

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131227

R150 Certificate of patent or registration of utility model

Ref document number: 5452529

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250