CN104347709B - 半导体装置 - Google Patents

半导体装置 Download PDF

Info

Publication number
CN104347709B
CN104347709B CN201310345075.9A CN201310345075A CN104347709B CN 104347709 B CN104347709 B CN 104347709B CN 201310345075 A CN201310345075 A CN 201310345075A CN 104347709 B CN104347709 B CN 104347709B
Authority
CN
China
Prior art keywords
semiconductor device
epitaxial
silicon
cap rock
structures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310345075.9A
Other languages
English (en)
Other versions
CN104347709A (zh
Inventor
廖晋毅
陈俊宇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to CN201310345075.9A priority Critical patent/CN104347709B/zh
Publication of CN104347709A publication Critical patent/CN104347709A/zh
Application granted granted Critical
Publication of CN104347709B publication Critical patent/CN104347709B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明公开一种半导体装置,其包括至少二鳍状结构、栅极结构、至少二外延结构以及硅盖层。鳍状结构设置于基底上,且栅极结构覆盖鳍状结构。外延结构均设置于栅极结构的一侧,且各自直接接触各鳍状结构,其中外延结构间互相分离。硅盖层同时包覆外延结构。

Description

半导体装置
技术领域
本发明涉及一种非平面半导体装置,特别是涉及一种具有外延结构的非平面半导体装置。
背景技术
随着场效晶体管(field effect transistors,FETs)元件尺寸持续地缩小,现有平面式(planar)场效晶体管元件的发展已面临制作工艺上的极限。为了克服制作工艺限制,以非平面(non-planar)场效晶体管元件,例如多栅极场效晶体管(multi-gate MOSFET)元件及鳍式场效晶体管(fin field effect transistor,Fin FET)元件取代平面晶体管元件已成为目前的主流发展趋趋势。由于非平面晶体管元件的立体结构可增加栅极与鳍状结构的接触面积,因此可进一步增加栅极对于载流子通道区域的控制,从而降低小尺寸元件面临的由源极引发的能带降低(drain induced barrier lowering,DIBL)效应,并可以抑制短通道效应(short channel effect,SCE)。此外,相较于平面式场效晶体管元件,非平面晶体管元件在同样的栅极长度下具有较宽的通道宽度,因而也可提供加倍的漏极驱动电流。
另一方面,目前业界也发展出所谓的「应变硅(strained-silicon)技术」,以进一步增加晶体管元件的载流子迁移率。举例来说,其中一种主流的应变硅技术是将硅锗(SiGe)或硅碳(SiC)等晶格常数(lattice constant)不同于单晶硅(single crystal Si)的外延结构设置于半导体元件的源/漏极区域。由于硅锗外延结构及硅碳外延结构的晶格常数分别比单晶硅大及小,使得邻近于外延结构的载流子通道会感受到外加应力,而相应地产生了晶格以及带结构(band structure)的改变。在此情况之下,载流子迁移率以及相对应场效晶体管的速度均可有效提升。
然而,随着半导体元件的尺度不断减缩,即便同时采用非平面场效晶体管元件以及应变硅技术,仍无法解决所有的技术缺失。举例来说,两相邻的外延结构一般会因为外延过度成长之故而产生不必要的晶格缺陷,降低了外延结构所能产生的应力。因此如何排除外延结构的晶格缺陷即成为一重要课题。
发明内容
有鉴于此,本发明的一目的在于提供一种具有外延层的半导体装置,以降低晶格缺陷并改善施加至通道区域的应力数值。
为了达到上述目的,根据本发明的一较佳实施例,提供一种半导体装置,包括至少二鳍状结构、栅极结构、至少二外延结构以及硅盖层。鳍状结构设置于基底上,且栅极结构覆盖鳍状结构。外延结构均设置于栅极结构的一侧,且各自直接接触各鳍状结构,其中外延结构间互相分离。硅盖层同时包覆外延结构。
根据本发明的另一较佳实施例,提供一种半导体装置,包括至少二鳍状结构、栅极结构、至少二外延结构以及硅盖层。鳍状结构设置于基底上,且栅极结构覆盖鳍状结构。外延结构均设置于栅极结构的一侧,且各自直接接触各鳍状结构,其中外延结构间具有一重叠部,且各外延结构具有一宽度,重叠部以及宽度的比值实质上介于0.001至0.25之间。硅盖层会同时包覆外延结构。
附图说明
图1至图8是根据本发明的一较佳实施例所绘示的鳍式场效晶体管元件的制作方法示意图;
图9至图10是根据本发明的另一较佳实施例所绘示的鳍式场效晶体管元件的制作方法示意图;
图11是根据本发明的另一较佳实施例所绘示的鳍式场效晶体管元件的制作方法示意图。
符号说明
具体实施方式
于下文中,加以陈述本发明的半导体装置的具体实施方式,以使本技术领域中具有通常技术者可据以实施本发明。该些具体实施方式可参考相对应的附图,使该些附图构成实施方式的一部分。虽然本发明的实施例公开如下,然而其并非用以限定本发明,任何熟习此技术者,在不脱离本发明的精神和范畴内,当可作些许的更动与润饰。
图1至图8绘示了本发明的第一较佳实施例的半导体装置的制作方法示意图。请参照图1,图1绘示了半导体装置于初始阶段的透视图。如图1所示,在制作工艺初始阶段,半导体装置具有一基底10以及多个被设置于此基底10上的鳍状突起结构12。基底10的主表面10a可具有一预定晶面,且鳍状突起结构12的长轴轴向平行于一晶向。举例来说,对于一块硅基底而言,上述预定晶面可以是(100)晶面,且鳍状突起结构12可沿着〈110〉晶向延伸,但晶面与晶向不限于此。除了块硅基底之外,上述基底10也可例如是一含硅基底、一三五族半导体覆硅基底(例如GaAs-on-silicon)、一石墨烯覆硅基底(graphene-on-silicon)或硅覆绝缘(silicon-on-insulator,SOI)基底等半导体基底。
详细来说,鳍状突起结构12的制备方法可包括下列步骤,但不以此为限。举例来说,首先提供一块状基底(未绘示),并在其上形成硬掩模层(未绘示)。接着利用光光刻以及蚀刻制作工艺,将硬掩模层图案化,以定义出后续欲对应形成的鳍状突起结构12的位置。接着,进行一蚀刻制作工艺,将定义于硬掩模层内的图案转移至块状基底中,而形成所需的鳍状突起结构12。最后选择性地去除硬掩模层,便可获得如图1所示的结构。在此情况下,鳍状突起结构12可视为自基底10的一主表面10a延伸出,且彼此间具有相同的成份组成,例如单晶硅。另一方面,当基底并非选自上述块状基底,而是选自于三五族半导体覆硅基底时,则鳍状突起结构的主要组成会与此基底的三五族半导体组成相同。
在本实施例中,由于在形成鳍状突起结构12后可选择性地移除硬掩模层(未绘示),致使鳍状突起结构12与后续形成的栅极介电层之间可具有三直接接触面(包含二接触侧面16及一接触顶面14)。一般而言,具有此三直接接处面的场效晶体管也被称作是三栅极场效晶体管(tri-gate MOSFET)。由于此三栅极场效晶体管内的三直接接触面均可作为提供载流子流通的通道,相较于平面场效晶体管,三栅极场效晶体管在同样的栅极长度下便会具有较宽的载流子通道宽度,致使在相同的驱动电压下可获得加倍的漏极驱动电流。除此之外,本实施例也可选择性保留硬掩模层(未绘示),而于后续制作工艺中形成另一具有鳍状结构的多栅极场效晶体管(multi-gate MOSFET),也被称为鳍式场效晶体管(finfield effect transistor,Fin FET)。对于鳍式场效晶体管而言,由于其保留了硬掩模层(未绘示),因此鳍状突起结构12与后续形成的栅极介电层之间仅有两接触侧面。
请参照图2,图2绘示了形成栅极结构后半导体装置的透视图。如图2所示,绝缘结构20会被形成于基底10上并包覆各鳍状突起结构12的下部,以电性绝缘后续形成的各晶体管。其中,绝缘结构20可例如为一浅沟槽绝缘(shallow trench isolation,STI)结构,其可通过一浅沟槽绝缘制作工艺而制得。由于其详细形成方法为本领域技术人员所熟知,故不再赘述,但本发明不以此为限。
接续,仍如图2所示,由下而上依序形成一栅极介电层(未绘示)、一牺牲电极层(未绘示)以及一盖层(未绘示),以覆盖基底10以及鳍状突起结构12。随之,将盖层(未绘示)、牺牲电极层(未绘示)以及栅极介电层(未绘示)图案化,以形成一栅极介电层(未绘示)、一牺牲电极层32以及一盖层38于基底10以及鳍状突起结构12上。图案化后的栅极介电层、牺牲电极层32以及盖层38可构成一栅极结构30,以横跨各鳍状突起结构12并覆盖各鳍状突起结构12间的绝缘结构20。根据本实施例,栅极结构30会横跨二鳍状突起结构12而形成如图2所示的结构。具体来说,栅极结构30会覆盖各鳍状突起结构12的部分顶面14以及两侧面16,并覆盖住部分绝缘结构20的顶面。此外,栅极结构30较佳沿着一第一方向X延伸,而鳍状突起结构12较佳沿着一第二方向Y延伸并沿着一第三方向Z突出基板10。第一方向X、第二方向Y与第三方向Z互相正交,但不限于此。
为了便于清楚公开本发明,在图2至图8中仅绘示单一栅极结构30,然而其个数也可根据不同产品需求而有所增加。举例来说,基板上可设有一个以上且互相平行的栅极结构,使得同一条鳍状突起结构可被一个以上的栅极结构所覆盖。此外,同一条栅极结构30较佳用以作为同一导电型晶体管的栅极,例如作为PMOS晶体管的栅极或NMOS晶体管的栅极。
本实施例以一后置高介电常数后栅极(gate-last for high-K last)制作工艺为例,故栅极结构30也可被视为是一虚置栅极结构(dummy gate structure)。换言之,栅极介电层将于后续制作工艺中被替换成高介电常数栅极介电层,而牺牲电极层32将会被替换成导电金属层。在此实施态样下,栅极介电层可仅为一般方便于后续制作工艺中移除的牺牲材料,例如为一氧化层。牺牲电极层32的组成可以是多晶半导体材料,例如多晶硅,但不以此为限。盖层可包括由氮化层或氧化层等所组成的单层或多层结构,作为一图案化的硬掩模。在本实施例中,盖层38为一双层结构,其由下而上可包含一底层34以及一顶层36,且底层34例如为一氮化层,而顶层36可例如为一氧化层,不以此为限。
上述介绍后置高介电常数后栅极制作工艺的实施态样,然而本实施例不限于此,其也可采用一前置高介电常数后栅极(gate-last for high-K first)制作工艺。在此态样下,栅极介电层可为一高介电常数栅极介电层,其可选自氧化铪(hafnium oxide,HfO2)、硅酸铪氧化合物(hafnium silicate,HfSiO4)、硅酸铪氮氧化合物(hafnium siliconoxynitride,HfSiON)、氧化铝(aluminum oxide,Al2O3)、氧化镧(lanthanum oxide,La2O3)、氧化钽(tantalum oxide,Ta2O5)、氧化钇(yttrium oxide,Y2O3)、氧化锆(zirconiumoxide,ZrO2)、钛酸锶(strontium titanate,SrTiO3)、硅酸锆氧化合物(zirconiumsilicate,ZrSiO4)、锆酸铪(hafnium zirconate,HfZrO4)、锶铋钽氧化物(strontiumbismuth tantalate,SrBi2Ta2O9,SBT)、锆钛酸铅(lead zirconate titanate,PbZrxTi1-xO3,PZT)与钛酸钡锶(barium strontium titanate,BaxSr1-xTiO3,BST)所组成的群组,但本发明不以此为限。另外,可形成一阻障层(未绘示)于栅极介电层上,用以于移除牺牲电极层时当作蚀刻停止层来保护栅极介电层,并可防止后续位于其上的金属成分向下扩散污染栅极介电层。上述阻障层可例如为氮化钽(tantalum nitride,TaN)、氮化钛(titaniumnitride,TiN)等的单层结构或复合层结构。
请参照图3。在形成上述的栅极结构后,继以如图3所示,可形成一间隙壁40于栅极结构30的一侧壁上,以定义后续所形成的外延结构的位置。本实施例的间隙壁40较佳形成于栅极结构30的各侧,且其会覆盖各鳍状突起结构12的部分区段。详细而言,形成间隙壁40的方法可例如为:先顺向性地沉积一材料层(未绘示)于栅极结构30以及基底10上,接着再进行一蚀刻制作工艺,以形成所需的间隙壁40轮廓。上述间隙壁40的结构可包括单层结构或多层结构,例如由氮化硅、氮氧化硅等所组成的单层结构,或者由氧化硅/氮化硅等所组成的双层结构,但不以此为限。本实施例所指的间隙壁40为用以定义及形成外延结构的间隙壁,因此在形成间隙壁40之前或之后,可能会再另外形成其他较薄的间隙壁以形成轻掺杂源/漏极区(未绘示)或另外形成其他较厚的间隙壁以形成源/漏极区(未绘示)等。
请参照图4,图4绘示了蚀刻鳍状突起结构后半导体装置的透视图。如图4所示,可以选择性地在栅极结构30以及间隙壁40的覆盖下进行一蚀刻制作工艺46,以蚀刻鳍状突起结构12,并于栅极结构30至少一侧的鳍状突起结构12内形成一凹槽60。详细而言,上述蚀刻可包含至少一干蚀刻步骤或/以及至少一湿蚀刻步骤,例如先以一干蚀刻步骤蚀刻鳍状突起结构12直至一预定深度,然后再以一湿蚀刻步骤侧向蚀刻以形成所需凹槽60的轮廓,但不以此为限。在本实施例中,凹槽60的一剖面具有一上凹的剖面结构,但不以此为限,凹槽可视实际需要具有不同的剖面结构。
请参照图5以及图6,其中图5绘示了形成外延结构后半导体装置的透视图,图6则是沿着图5切线AA’所绘示的剖面图。如图5所示,在选择性形成凹槽60之后,接着可进行一外延成长制作工艺,以于相应的凹槽60内形成一外延结构66。根据本实施例,各外延结构66较佳彼此独立设置,亦即不会有合并(merge)的情形产生。举例来说,对于各鳍状突起结构12间具有一介于10纳米至14纳米节距(pitch)的情况,当外延结构66的高度H1介于300埃至600埃时,各外延结构66间会具有一大约介于30埃至150埃区间的距离S,或称空隙,因此各外延结构66不会产生合并,但不限于此。其中,上述外延成长制作工艺可例如是一分子束外延制作工艺(molecular beam epitaxy,MBE)、一共流外延成长制作工艺(co-flowepitaxial growth process)、一循环选择性外延成长制作工艺(cyclic selectiveepitaxial growth process)或其他类似的外延制作工艺。
此外,根据不同导电型的半导体装置,也可以相对应地调变上述外延结构66的组成,以施加适当的应力至半导体装置内的特定区域。举例来说,对于一P型半导体装置而言,由于外延结构66较佳用以提供压缩应力至相邻的通道区域,因此其组成可例如是具有或不具有掺质,例如硼掺质,之硅锗层。且外延结构66也可以具有一由内至外或/且由下至上具有多层浓度不同的包覆结构。举例来说,外延结构由下至上可包括锗浓度相对低的至少一外延硅锗层、锗浓度相对高的至少一外延硅锗层以及一粘着层等等。另一方面,对于一N型半导体装置而言,由于外延结构66较佳用以提供伸张应力至相邻的通道区域,因此其组成可例如是硅磷成分(SiP)、硅碳成分(SiC)、或磷掺杂硅碳成分等等,但不限于此。
继以参照图7。如图7所示,进行另一外延制作工艺,以于各外延结构66的表面上形成另一外延层,举例来说,组成为单晶硅或多晶硅的硅盖层68。在施行此外延制作工艺的过程中,硅盖层68会于各外延结构66的表面上不断成长,并逐渐填满各外延结构66间的空间,直至相邻的硅盖层68产生合并,而形成如图7所示的结构。换言之,为了使硅盖层68产生合并,其各别厚度T1必须至少大于距离S的1/2。举例而言,当距离S落在10纳米至20纳米的区间时,硅盖层68的厚度T1会落于6纳米至11纳米之间,但其厚度也可大于11纳米,此端视产品需求。仍如图7所示,合并后的硅盖层68会覆盖住各外延结构66的表面且大致具有一周期性连续凹凸(concavo-convex)的表面型态。各硅盖层68的顶部68a会大致位于同一高度H2,或大致位于同一平面P上,且平面P实质上会平行于基底10或绝缘结构20的主表面10a,但不限于此。
请参照图8,在形成上述外延结构之后,可选择性地再进行后续的半导体制作工艺,例如金属栅极取代制作工艺以及接触结构制作工艺。对于金属栅极取代制作工艺而言,由多晶硅所构成的栅极结构会被置换成金属栅极结构,且其制作工艺一般包括高介电常数前置以及高介电常数后置的两种情况。举例来说,对于一采用高介电常数后置的金属栅极取代制作工艺而言,其制作工艺可包括:(1)沉积一层间介电层70,以围绕栅极结构(图未示);(2)移除栅极结构,以留下一沟槽(图未示);(3)形成一栅极介电层(图未示),以覆顺向性地覆盖沟槽的侧壁及底部;以及(4)形成一金属栅极(图未示),以填满沟槽,其中金属栅极可包括阻障层(barrier layer)(图未示)、功函数金属层(work function metal layer)以及一低电阻金属层(图未示),但不限于此。
接着,仍参照图8。在施行金属栅极取代制作工艺之后,可续行后续的接触结构制作工艺,以形成电连接外延结构66的接触结构,例如接触插塞74,而将外延结构66电连接至后续形成的外部线路(图未示)。如图8所示,举例来说,接触插塞制作工艺制作工艺可包括在层间介电层70内形成至少一开口呈现圆型或长条型的接触洞72,以暴露出相对应的硅盖层68区域。接着,依序在接触洞72内形成一阻障/粘着层(图未示)、一晶种层(图未示)以及一导电层(图未示)以覆盖硅盖层68,而完成所需的接触插塞74。其中,上述阻障/粘着层共形地(conformally)填入接触洞72中,且导电层完全填满接触洞72。
在此需注意的是,在上述接触插塞制作工艺中,另可施行一硅化金属制作工艺,以于硅盖层68中形成导电性较佳的金属硅化物(图未示)。举例来说,在形成接触洞72后及填入导电层之前,可先行填入一金属来源层(图未示)至接触洞72中,然后搭配进行一快速升温退火(RTA)制作工艺,致使金属来源层与硅盖层68部分或完全反应而形成一金属硅化物层,继以再去除未反应完全的金属来源层,而完成例示的硅化金属制作工艺。之后可续行上述的接触插塞制作工艺,而完成所需的结构。上述的金属来源层可包括钴(Co)、钛(Ti)、镍(Ni)或铂(Pt)等金属材料或其合金,但不限于此。
根据上述,完成本发明的第一较佳实施例的半导体装置。下文将进一步介绍上述实施例的其他变化型实施例,且为简化说明,以下说明主要针对不同之处进行详述,而不再对相同之处作重复赘述。此外,各实施例中相同的元件以相同的标号进行标示,以利于各实施例间互相对照。
根据本发明的第一变化型实施例,其也提供一种具有外延结构的半导体装置。然而,此变化型实施例与上述第一较佳实施例的主要差异在于,各外延结构在形成硅盖层之前已彼此合并,而非彼此独立。详细来说,如图9所示,在进行类似如第一较佳实施例所述的外延制作工艺之后,位于各凹槽60内的外延结构66会彼此间些许合并,而形成一连续的外延结构。进一步来说,各外延结构66间可视为有一重叠部O,或称连接部,以物理性连接两相邻的外延结构66。此外,重叠部O与各外延结构66的宽度W会具有一比例关系,举例来说,两者的比值会介于0.001至0.25之间,较佳介于0.001至0.05之间。在此比值下,即便两相邻的外延结构60产生些许地合并,各外延结构66仍可保持其单晶结构,且不至于在重叠部O产生过多的缺陷结构。
继以参照图10。在完成如图9所述的结构之后,接着可续行如第一较佳实施例所述的另一外延制作工艺,以形成另一外延层,例如组成为单晶硅或多晶硅的硅盖层68。在此需注意的是,本变化型实施例的硅盖层68为一位于各外延结构66上的连续层,因此其厚度T1不限于特定数值,只需足以构成一连续层的型态即可。类似地,硅盖层68会大致具有一周期性连续凹凸的表面型态,且硅盖层68的顶部68a会大致位于同一高度H2,或大致位于同一平面P上,且平面P实质上会平行于基底10或绝缘结构20的主表面10a,但不限于此。
此外,根据本发明的第二变化型实施例,也提供一种具有外延结构的半导体装置。然而,此变化型实施例与上述第一较佳实施例的主要差异在于,各外延结构直接成长于各鳍状突起结构的表面上,亦即各鳍状突起结构内不会具有凹槽。详细来说,请参照图11,由于本变化型实施例不会施行蚀刻鳍状突起结构的制作工艺,因此在施行类似如第一较佳实施例所述的外延制作工艺之后,各外延结构66会直接接触并覆盖各鳍状突起结构12,且各外延结构66彼此独立具有一距离S。之后可继续于各外延结构66上形成一另一外延层,例如组成为单晶硅或多晶硅的硅盖层68,致使相邻的硅盖层68产生合并,而形成如图11所示的结构。在此需注意的是,本变化型实施例的各外延结构也可以在形成硅盖层前便产生些许地合并,致使后续的硅盖层成为一厚度约略均匀的连续薄膜。由于此态样的结构大致类似于上述的第一较佳实施例,在此便不加赘述。
在此需注意的是,上述的各实施例中的外延结构以及形成于其上的硅盖层较佳设置于同一导电型晶体管的源/漏极区域。举例来说,硅锗的外延结构以及其上的硅盖层会被设置于P型晶体管结构中,且其至少位于闸节结构一侧的源/漏极区域内。
综上所述,本发明的各实施例提供一种半导体装置。在各半导体装置中,两相邻的外延结构彼此分离或些许地合并,且位于各外延结构上的另一外延层会填满两相邻外延结构间的间距或连续分布于各外延结构的表面上。通过此结构,可以避免缺陷结构存在于各外延结构内或是存在于两相邻外延结构的重叠部,因而提升了各外延结构所能提供的应力数值,进而提升了半导体装置的效能。
以上所述仅为本发明的较佳实施例,凡依本发明权利要求所做的均等变化与修饰,皆应属本发明的涵盖范围。

Claims (21)

1.一种半导体装置,包括:
至少二鳍状结构,设置于一基底上;
栅极结构,覆盖该些鳍状结构;
至少二外延结构,均设置于该栅极结构的一侧,且各自直接接触各该鳍状结构,其中该些外延结构为互相分离;以及
硅盖层,同时包覆该些外延结构,其中,相邻的硅盖层产生合并。
2.如权利要求1所述的半导体装置,另包括至少二凹槽,各自设置各该鳍状结构的一末端,其中各该外延结构填满相对应的各该凹槽。
3.如权利要求1所述的半导体装置,其中该些外延结构各自包覆住各该鳍状结构的一末端。
4.如权利要求1所述的半导体装置,另包括至少一绝缘层,设置于该些外延结构之间。
5.如权利要求4所述的半导体装置,其中该硅盖层会直接接触各该外延结构间的该绝缘层。
6.如权利要求1所述的半导体装置,其中各该外延结构由下至上包括一低掺杂外延层、一高掺杂外延层以及一粘着层。
7.如权利要求1所述的半导体装置,其中该些外延结构的材质包括硅锗、硅磷或硅碳。
8.如权利要求1所述的半导体装置,其中各该外延结构包括一顶面,且该些顶面实质上位于同一平面上。
9.如权利要求1所述的半导体装置,其中该硅盖层具有一连续凹凸(concavo-convex)的轮廓。
10.如权利要求1所述的半导体装置,其中该硅盖层的材质为单晶硅。
11.如权利要求1所述的半导体装置,另包括:
介电层,覆盖该栅极结构以及该硅盖层;以及
至少一接触结构,设置于该介电层内且直接接触该硅盖层。
12.一种半导体装置,包括:
至少二鳍状结构,设置于一基底上;
栅极结构,覆盖该些鳍状结构;
至少二外延结构,均设置于该栅极结构的一侧,且各自直接接触各该鳍状结构,其中该些外延结构间具有一重叠部,且各该外延结构具有一宽度,其中该重叠部以及该宽度的比值实质上介于0.001至0.25之间;以及
硅盖层,同时包覆该外延结构。
13.如权利要求12所述的半导体装置,另包括二凹槽,各自设置于各该鳍状结构的一末端,其中各该外延结构填满相对应的各该凹槽。
14.如权利要求12所述的半导体装置,其中该些外延结构各自包覆住各该鳍状结构的一末端。
15.如权利要求12所述的半导体装置,另包括一空间,位于该基底以及相对应该些外延结构之间。
16.如权利要求15所述的半导体装置,其中该空间内会被填有该硅盖层。
17.如权利要求12所述的半导体装置,其中各该外延结构由下至上包括一低掺杂外延层、一高掺杂外延层以及一粘着层。
18.如权利要求12所述的半导体装置,其中各该外延结构的材质包括硅锗、硅磷或硅碳。
19.如权利要求12所述的半导体装置,其中该硅盖层具有一连续凹凸(concavo-convex)的轮廓。
20.如权利要求12所述的半导体装置,其中硅盖层的材质为单晶硅。
21.如权利要求12所述的半导体装置,另包括:
介电层,覆盖该栅极结构以及该硅盖层;以及
至少一接触结构,设置于该介电层内且直接接触该硅盖层。
CN201310345075.9A 2013-08-09 2013-08-09 半导体装置 Active CN104347709B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310345075.9A CN104347709B (zh) 2013-08-09 2013-08-09 半导体装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310345075.9A CN104347709B (zh) 2013-08-09 2013-08-09 半导体装置

Publications (2)

Publication Number Publication Date
CN104347709A CN104347709A (zh) 2015-02-11
CN104347709B true CN104347709B (zh) 2018-09-04

Family

ID=52502916

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310345075.9A Active CN104347709B (zh) 2013-08-09 2013-08-09 半导体装置

Country Status (1)

Country Link
CN (1) CN104347709B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102262830B1 (ko) * 2015-11-03 2021-06-08 삼성전자주식회사 반도체 장치
US9620503B1 (en) * 2015-11-16 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US10038094B2 (en) * 2016-05-31 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure and methods thereof

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US8310013B2 (en) * 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US9312179B2 (en) * 2010-03-17 2016-04-12 Taiwan-Semiconductor Manufacturing Co., Ltd. Method of making a finFET, and finFET formed by the method
US8362574B2 (en) * 2010-06-04 2013-01-29 Kabushiki Kaisha Toshiba Faceted EPI shape and half-wrap around silicide in S/D merged FinFET
US8659032B2 (en) * 2012-01-31 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same

Also Published As

Publication number Publication date
CN104347709A (zh) 2015-02-11

Similar Documents

Publication Publication Date Title
US9337193B2 (en) Semiconductor device with epitaxial structures
US10930764B2 (en) Extension region for a semiconductor device
US11742405B2 (en) Separate epitaxy layers for nanowire stack GAA device
CN102668093B (zh) 用于鳍式fet和三栅极器件的环绕式接触
CN103915345B (zh) 半导体器件及其制造方法
CN103985751B (zh) 半导体设置及其制造方法
US9905421B2 (en) Improving channel strain and controlling lateral epitaxial growth of the source and drain in FinFET devices
JP2010251459A (ja) 半導体装置およびその製造方法
CN103383918A (zh) 具有金属栅极的半导体结构及其制作方法
CN106252391A (zh) 半导体结构及其制作方法
US20160172380A1 (en) Modified fin cut after epitaxial growth
CN106952955A (zh) 半导体元件及其制作方法
TWI593111B (zh) 半導體裝置
CN102956453B (zh) 半导体装置及其制作方法
US11239074B2 (en) Germanium nanosheets and methods of forming the same
CN104347709B (zh) 半导体装置
CN109728080A (zh) 隧道场效应晶体管及其制作方法
US9450094B1 (en) Semiconductor process and fin-shaped field effect transistor
CN106531793B (zh) 具有外延层的半导体结构
CN103972285B (zh) 半导体元件及其制作方法
CN110246803A (zh) 半导体元件及其制作方法
CN104241360B (zh) 半导体装置及其制作方法
CN103985748B (zh) 半导体设置及其制造方法
TWI518790B (zh) 半導體裝置及其製作方法
CN105845546A (zh) 照光的外延制作工艺

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant