JP2010045344A - 半導体装置の製造方法および半導体装置 - Google Patents

半導体装置の製造方法および半導体装置 Download PDF

Info

Publication number
JP2010045344A
JP2010045344A JP2009167205A JP2009167205A JP2010045344A JP 2010045344 A JP2010045344 A JP 2010045344A JP 2009167205 A JP2009167205 A JP 2009167205A JP 2009167205 A JP2009167205 A JP 2009167205A JP 2010045344 A JP2010045344 A JP 2010045344A
Authority
JP
Japan
Prior art keywords
gate
silicon substrate
insulating film
gate electrode
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009167205A
Other languages
English (en)
Other versions
JP5461904B2 (ja
Inventor
Masashige Morikazu
正成 盛一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2009167205A priority Critical patent/JP5461904B2/ja
Publication of JP2010045344A publication Critical patent/JP2010045344A/ja
Application granted granted Critical
Publication of JP5461904B2 publication Critical patent/JP5461904B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Abstract

【課題】基板表面へのダメージを低減しつつ、自己整合コンタクトと基板のシリサイド化構造とを両立させる。
【解決手段】半導体装置100は、シリコン基板102と、シリコン基板102上に形成されたゲート電極108およびその側壁に形成されたサイドウォール112を含む第1のゲート114aと、シリコン基板102表面において、第1のゲート114aのサイドウォール112側方に形成されたシリサイド層132と、平面視で第1のゲート114aと少なくとも部分的に重なるとともにシリコン基板102表面のシリサイド層132に達するコンタクト164とを含む。ここで、コンタクト164と、第1のゲート114aのゲート電極108との間には、絶縁膜が配置されている。
【選択図】図1

Description

本発明は、半導体装置の製造方法および半導体装置に関する。
近年、LSI(Large Scale Integration)の微細化により、ゲート間のピッチがますます狭くなり、ゲート間の領域にコンタクトを開口することが非常に困難になっている。このような問題を解決するための技術として、自己整合コンタクト(Self-Align Contact:SAC)構造が知られている。また、低抵抗化して高速化するために、ポリシリコンにより構成されたゲート電極の表面やシリコン基板表面のソース・ドレイン領域表面をシリサイド化する技術も知られている。
特許文献1(特開2002−184860号公報)には、導電部上に形成された保護膜を予めエッチング除去しておき、絶縁膜で埋め戻し、半導体基板上に開放するコンタクトホールと、導電部上に開口するコンタクトホールとを同一条件でのエッチング処理により同時に形成する技術が記載されている。
特許文献2(特開2004−327702号公報)には、ロジック用トランジスタのソースおよびドレイン上、ゲート電極上、メモリセルトランジスタのゲート電極上にそれぞれ金属シリサイドを形成する構成が記載されている。これにより、動作の高速化を図ることができるとともにメモリセルトランジスタのゲート電極に対して自己整合的にコンタクトを形成することができ、ロジック用トランジスタとメモリセルトランジスタのゲート加工を同時に行うことができるとされている。
図15および図16は、特許文献2に記載された半導体装置のメモリ領域の構成の一部を示す断面図である。
ここで、P型ウェル領域11表面にはN型拡散領域29が形成されている。また、P型ウェル領域11上には、ゲート酸化膜24、ゲート電極25、シリコン酸化膜26、キャップ絶縁膜27、シリコン窒化膜28、シリコン窒化膜33、およびシリコン酸化膜34が形成されている(図15(a))。キャップ絶縁膜27としては、シリコン酸化膜が例示されている。また、ゲート電極25はポリシリコン膜により構成されている。
この後、表面に露出したシリコン窒化膜33を除去してキャップ絶縁膜27を露出させ、キャップ絶縁膜27およびシリコン酸化膜26をエッチングで除去してゲート電極25表面を露出させる。このとき、サイドウォールであるシリコン窒化膜28内のゲート電極25上には凹部が形成される。つづいて、ゲート電極25表面をシリサイド化して、金属シリサイド膜を形成する(図15(b))。その後、P型ウェル領域11上の全面にシリコン窒化膜37を形成し、シリコン窒化膜28内の凹部をシリコン窒化膜37で埋め込む(図15(c))。次いで、シリコン窒化膜37表面を平坦化してシリコン酸化膜34を露出させる(図16(a))。その後、P型ウェル領域11上の全面にシリコン酸化膜38を形成し、シリコン酸化膜38、シリコン酸化膜34、およびシリコン窒化膜33をエッチングにより除去してコンタクトホール39を形成する。つづいて、コンタクトホール39内に導電性材料を埋め込み、コンタクト40を形成する(図16(b))。一方、図示していないが、ロジック領域では、図15(b)に示したゲート電極25表面をシリサイド化する工程の前に、シリコン酸化膜34およびシリコン窒化膜33を除去して、基板表面を露出させておく。そして、図15(b)に示したゲート電極25表面をシリサイド化する工程において、基板表面もシリサイド化する。
また、特許文献3(特開2001−127270号公報)には、DRAM部およびロジック部を同一基板上に形成したDRAM混載半導体装置において、少なくともDRAM部およびロジック部のトランジスタのソース・ドレイン領域の全面およびゲート表面がシリサイド化された半導体装置が記載されている。
特開2002−184860号公報 特開2004−327702号公報 特開2001−127270号公報
しかし、従来、自己整合コンタクトを形成して、そのコンタクトがシリコン基板と接続する箇所をシリサイド化しようとすると、以下のような問題があった。
図15および図16を参照して説明する。シリコン基板表面をシリサイド化するためには、シリサイド化の前にシリコン基板を露出させておく必要がある。しかし、図15(b)に示したゲート電極25表面をシリサイド化する工程の前に基板表面を露出させようとすると、シリコン酸化膜34およびシリコン窒化膜33をエッチングにより除去する必要がある。そのため、シリコン酸化膜34およびシリコン窒化膜33をエッチングする際に、P型ウェル領域11表面がダメージを受けたり、P型ウェル領域11表面に形成された素子分離絶縁膜(STI)が膜減りを起こすという問題があった。
本発明によれば、
シリコン基板上に形成され、ポリシリコンで構成されたゲート電極およびその側壁に形成されたサイドウォールを含む第1のゲートを形成する工程と、
前記シリコン基板上の全面に有機膜を形成して、前記第1のゲートを埋め込む工程と、
前記有機膜の上部を除去して前記ゲート電極のポリシリコン上面を露出させる工程と、
前記シリコン基板表面を前記有機膜で保護した状態で、露出された前記ゲート電極のポリシリコンを、所定の深さ除去して、前記サイドウォール内上部に凹部を形成する工程と、
前記有機膜をアッシングにより除去して前記シリコン基板表面を露出する工程と、
前記シリコン基板表面が露出された状態で、前記シリコン基板表面にシリサイド層を形成する工程と、
前記シリコン基板上全面に絶縁膜を形成し、前記サイドウォール内の前記凹部を当該絶縁膜で埋め込む工程と、
前記シリコン基板上全面に、平面視で前記第1のゲートと少なくとも部分的に重なるとともに当該ゲート電極側方の前記シリコン基板表面の前記シリサイド層上の領域で開口した第1の開口部を有するマスク膜を形成する工程と、
前記マスク膜を用いて、前記絶縁膜を選択的に除去して、前記シリコン基板表面の前記シリサイド層に達する第1のコンタクトホールを形成する工程と、
前記コンタクトホール内に導電材料を埋め込み、前記シリコン基板表面の前記シリサイド層と接続するとともに前記ゲート電極との間に前記絶縁膜を介して設けられた自己整合コンタクトを形成する工程と、
を含む半導体装置の製造方法が提供される。
以上の製造方法によれば、サイドウォール内上部に凹部を形成する際には、シリコン基板表面が有機膜で覆われているので、凹部を形成するためにエッチング等行っても、シリコン基板表面がダメージを受けることがない。また、凹部を形成した後は、アッシングにより有機膜を除去することができるので、シリコン基板上のサイドウォール等を構成している絶縁膜や、シリコン基板表面に形成された素子分離絶縁膜等の膜減りを生じさせることなく、シリコン基板表面を露出させることができる。また、有機膜を除去する際のシリコン基板表面へのダメージも防ぐことができる。これにより、シリコン基板表面のシリサイド化を簡易に行うことができる。また、サイドウォールが膜減りすることなく残っているので、シリコン基板上全面に絶縁膜を形成する際に、ゲート上に厚い絶縁膜を形成することができ、コンタクトとゲート電極とが絶縁膜を介して配置されるようにできるので、自己整合コンタクトを形成することができる。これにより、自己整合コンタクトと基板のシリサイド化構造とを両立させることができる。
本発明によれば、
シリコン基板と、
前記シリコン基板上に形成されたゲート電極およびその側壁に形成されたサイドウォールを含む第1のゲートと、
前記シリコン基板表面において、前記第1のゲートの前記サイドウォール側方に形成されたシリサイド層と、
平面視で前記第1のゲートと少なくとも部分的に重なるとともに、前記シリコン基板表面の前記シリサイド層に達する第1のコンタクトと、
を含み、
前記第1のコンタクトと、前記第1のゲートの前記ゲート電極との間には、絶縁膜が配置されている半導体装置が提供される。
従来の技術でも、自己整合コンタクトを形成することはできたが、上記のような問題があったために、自己整合コンタクトと基板のシリサイド化構造とを両立させることは、現実的には困難であった。しかし、本発明の上記の方法を用いることにより、基板表面へのダメージを低減しつつ、自己整合コンタクトと基板のシリサイド化構造とを両立させることができる。このような構造とすることにより、自己整合コンタクトを用いることによってゲート間の距離を縮めて半導体装置を小型化することができるとともに、基板をシリサイド化することにより、トランジスタを高速化することができる。これにより、トランジスタの周辺回路の構成を小さくすることができ、半導体装置をさらに小型化することができる。
なお、以上の構成要素の任意の組合せ、本発明の表現を方法、装置などの間で変換したものもまた、本発明の態様として有効である。
本発明によれば、基板表面へのダメージを低減しつつ、自己整合コンタクトと基板のシリサイド化構造とを両立させることができる。
本発明の実施の形態における半導体装置の構成の一例を示す図である。 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。 本発明の実施の形態における半導体装置の製造手順の他の例を示す断面図である。 本発明の実施の形態における半導体装置の製造手順の他の例を示す断面図である。 本発明の実施の形態における半導体装置の構成の一例を示す図である。 本発明の実施の形態における半導体装置の構成の一例を示す上面図である。 本発明の実施の形態における半導体装置の構成の一例を示す断面図である。 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。 従来の半導体装置の製造手順を示す工程断面図である。 従来の半導体装置の製造手順を示す工程断面図である。 図8に示した半導体装置の他の例を示す図である。
以下、本発明の実施の形態について、図面を用いて説明する。尚、すべての図面において、同様な構成要素には同様の符号を付し、適宜説明を省略する。
(第1の実施の形態)
図1は、本実施の形態における半導体装置の構成の一例を示す図である。
図1(a)は、半導体装置100の構成を示す断面図、図1(b)は、半導体装置100の構成を示す上面図である。図1(a)は、図1(b)のA−A’断面図に該当する。
半導体装置100は、シリコン基板102を有する。シリコン基板102上には、メモリ領域202、拡散領域204、およびロジック領域206が設けられている。拡散領域204は、トランジスタが形成されない、広い拡散層が形成される領域である。半導体装置100は、同一基板上に、メモリ領域202と、ロジック(回路)を含むロジック領域206とが集積された半導体装置とすることができる。
半導体装置100は、シリコン基板102表面に設けられたソース・ドレイン領域103および素子分離絶縁膜104と、シリコン基板102上に設けられた第1のゲート114aおよび第2のゲート114bと、シリコン基板102上にこの順で形成された絶縁膜134、エッチング阻止絶縁膜136、絶縁膜138、および層間絶縁膜140を含む。
第1のゲート114aおよび第2のゲート114bは、絶縁膜134、エッチング阻止絶縁膜136、絶縁膜138、および層間絶縁膜140により埋め込まれている。第1のゲート114aおよび第2のゲート114bは、それぞれ、ゲート電極108と、シリコン基板102とゲート電極108との間に形成されたゲート絶縁膜106と、ゲート電極108の側方に設けられたサイドウォール112とを含む。本実施の形態において、サイドウォール112は、ゲート電極108側面に形成された絶縁膜109、ライナー絶縁膜110、およびライナー絶縁膜110上に形成された絶縁膜111により構成される。
本実施の形態において、シリコン基板102表面の第1のゲート114aおよび第2のゲート114bが形成された領域以外の、ゲート側方の領域には、シリサイド層132が形成されている。さらに、本実施の形態において、ゲート電極108は、ポリシリコンにより構成することができる。ゲート電極108表面にも、シリサイド層130が形成されている。
さらに、本実施の形態において、メモリ領域202、拡散領域204、およびロジック領域206には、それぞれコンタクト164、コンタクト166、およびコンタクト168が形成される。各コンタクトは、それぞれバリアメタル膜160および金属膜162により構成される。
メモリ領域202に設けられたコンタクト164は、平面視で第1のゲート114aと少なくとも部分的に重なるように形成される。本実施の形態において、コンタクト164は、平面視で第1のゲート114aのゲート電極108と少なくとも部分的に重なるように形成することができる。また、コンタクト164は、シリコン基板102表面のシリサイド層132に達し、シリサイド層132と電気的に接続される。本実施の形態において、メモリ領域202のコンタクト164と第1のゲート114aのシリサイド層130との間には、絶縁膜134等の絶縁膜が存在し、コンタクト164と第1のゲート114aのゲート電極108とが電気的に接続されないようになっている。
本実施の形態において、コンタクト164は、自己整合的に形成される自己整合(セルフアライン)コンタクトである。すなわち、コンタクト164を形成するためのコンタクトホールは、第1のゲート114aのサイドウォール112やその上に形成された絶縁膜134およびエッチング阻止絶縁膜136が自己整合的にエッチングされることにより形成される。
本実施の形態において、このような自己整合コンタクトが形成される領域においては、第1のゲート114aのサイドウォール112上部には所定の深さの凹部が形成されており、当該凹部内に絶縁膜134が埋め込まれている。この凹部の深さおよび当該凹部を埋め込む絶縁膜134の膜厚を制御することにより、コンタクト164を形成するためのコンタクトホールを形成する際に、シリサイド層130上に絶縁膜134を残したままとすることができる。これにより、コンタクト164がゲート電極108と電気的に接続しないようにすることができる。
拡散領域204に設けられたコンタクト166は、シリコン基板102表面のシリサイド層132に達し、シリサイド層132と電気的に接続される。ロジック領域206に設けられたコンタクト168は、平面視で第2のゲート114bのゲート電極108と重なるように形成される。コンタクト168は、第2のゲート114bのゲート電極108上のシリサイド層130を介してゲート電極108と電気的に接続される。
なお、ここで、図1(b)では、配置をわかりやすくするために、シリサイド層132、第1のゲート114aおよび第2のゲート114bの各ゲート電極108およびサイドウォール112、ならびにコンタクト164、コンタクト166、およびコンタクト168のみを示している。
次に、本実施の形態における半導体装置100の製造手順を説明する。
図2から図5は、本実施の形態における半導体装置100の製造手順を示す工程断面図である。
本実施の形態において、半導体装置100の製造方法は、以下の手順を含む。
シリコン基板102上に形成され、ポリシリコンで構成されたゲート電極108およびその側壁に形成されたサイドウォール112を含む第1のゲート114aを形成する工程と、
シリコン基板102上の全面に有機膜120を形成して、第1のゲート114aを埋め込む工程と、
有機膜120の上部を除去してゲート電極108のポリシリコン上面を露出させる工程と、
シリコン基板102表面を有機膜120で保護した状態で、露出されたゲート電極108のポリシリコンを、所定の深さ除去して、サイドウォール112内上部に凹部124を形成する工程と、
有機膜120をアッシングにより除去してシリコン基板102表面を露出する工程と、
シリコン基板102表面が露出された状態で、シリコン基板102表面にシリサイド層132を形成する工程と、
シリコン基板102上全面に絶縁膜(絶縁膜134、エッチング阻止絶縁膜136、絶縁膜138、および層間絶縁膜140等)を形成し、凹部124を当該絶縁膜で埋め込む工程と、
シリコン基板102上全面に、平面視で第1のゲート114aのゲート電極108と部分的に重なるとともに当該ゲート電極108側方のシリコン基板102表面のシリサイド層132上の領域で開口した第1の開口部を有するマスク膜を形成する工程と、
マスク膜を用いて、絶縁膜を選択的に除去して、シリコン基板102表面のシリサイド層132に達するコンタクトホール150(第1のコンタクトホール)を形成する工程と、
コンタクトホール150内に導電材料(バリアメタル膜160、金属膜162)を埋め込み、シリコン基板102表面のシリサイド層132と接続するとともにゲート電極108との間に絶縁膜を介して設けられたコンタクト164(自己整合コンタクト)を形成する工程。
図2(a)は、シリコン基板102上に第1のゲート114aおよび第2のゲート114bをそれぞれ含む複数のトランジスタが形成された状態を示す。シリコン基板102表面には、エクステンション領域105が形成されている。また、シリコン基板102表面には、素子分離絶縁膜104が形成されており、各領域が電気的に分離されている。このとき、メモリ領域202に形成された第1のゲート114aのゲート電極108の上面は、そのサイドウォール112の上面と同じ高さを有する。
この状態で、シリコン基板102上の全面に、有機膜120を形成し、第1のゲート114aおよび第2のゲート114bを埋め込む。有機膜120は、たとえばBARC(Bottom Anti Reflective Coating)膜として一般的に用いられているものを用いることができる。さらに、有機膜120上に、メモリ領域202を選択的に開口するレジスト膜122を形成する(図2(b))。レジスト膜122は、有機膜120上にレジスト材料を塗布し、露光・現像によりパターンを形成することにより形成することができる。
つづいて、レジスト膜122をマスクとして有機膜120の上部をエッチングにより除去して、メモリ領域202において、第1のゲート114aのゲート電極108上面を露出させる(図2(c))。この状態で、露出されたゲート電極108表面のポリシリコンを、所定の深さ除去して、サイドウォール112内上部に凹部124を形成する(図3(a))。エッチングガスとしては、たとえば、HBr等Brを含むガスをベースとして、必要に応じて酸素を添加したガスを用いることができる。これにより、サイドウォール112を構成する絶縁膜と有機膜120との選択比を大きくとることができる。また、Cl、またはCF等のハロゲン元素(Cl、F)を含むガスや、N、Ar、またはHe等の不活性ガスを加えることにより、選択比、面内の均一性等を調整することもできる。また、シリコン基板102表面は有機膜120により保護されているため、シリコン基板102表面がダメージを受けることはない。ゲート電極108をエッチングする所定の深さは、たとえば50nmとすることができる。
次いで、レジスト膜122および有機膜120を除去して、シリコン基板102表面を露出させる(図3(b))。ここで、有機膜120が有機材料により構成されているため、有機膜120をレジスト膜122とともにアッシングで除去することができる。有機膜120をアッシングで除去することにより、サイドウォール112の膜減りが生じることなくシリコン基板102表面を露出させることができる。
つづいて、サイドウォール112をマスクとして、シリコン基板102表面およびゲート電極108表面に不純物イオンを注入する。次いで、熱処理を行う。これにより、シリコン基板102表面にソース・ドレイン領域103が形成される。なお、図示していないが、不純物イオンの注入は、レジスト膜を用いて、n型やp型等、種々のトランジスタに対して別々に行うことができる。その後、シリコン基板102上全面に金属層(不図示)を形成し、熱処理を行って、シリコン基板102の露出した表面にシリサイド層132を形成する。このとき、ゲート電極108表面もシリサイド化され、ゲート電極108表面にシリサイド層130が形成される(図4(a))。
つづいて、シリコン基板102上全面に絶縁膜134を形成し、メモリ領域202の第1のゲート114aの凹部124を絶縁膜134で埋め込む(図4(b))。ここで、絶縁膜134は、CVD(Chemical Vapor Deposition)法で形成することができる。本実施の形態において、絶縁膜134は、プラズマCVD法により形成することができる。プラズマCVD法を用いることにより、第1のゲート114aのゲート電極108上のサイドウォール112で囲まれた凹部124においては、拡散領域204のような平坦な領域よりも絶縁膜134の膜厚を厚くすることができる。これは、凹部124内で、凹部124の側壁に形成される絶縁膜134がピンチオフされるために膜厚を厚くすることができると考えられる。たとえば、拡散領域204での絶縁膜134の膜厚が25nmのとき、ゲート電極108上での絶縁膜134の膜厚を75nm程度とすることができる。
また、プラズマCVD法を用いることにより、メモリ領域202の第1のゲート114aと第1のゲート114aとの間のアスペクト比が高い領域では、絶縁膜134が形成されにくくなり、この領域での絶縁膜134の膜厚を薄くすることができる。本実施の形態において、絶縁膜134は、たとえばシリコン酸化膜により構成することができる。
次いで、絶縁膜134上にエッチング阻止絶縁膜136を形成する。エッチング阻止絶縁膜136も、CVD法により形成することができる。本実施の形態において、エッチング阻止絶縁膜136は、たとえばシリコン窒化膜により構成することができる。
その後、エッチング阻止絶縁膜136上に絶縁膜138を形成する。絶縁膜138も、CVD法により形成することができる。また、本実施の形態において、絶縁膜138は、たとえばシリコン酸化膜により構成することができる。つづいて、絶縁膜138上に層間絶縁膜140を形成し、サイドウォール112等を埋め込む(図5(a))。層間絶縁膜140も、CVD法により形成することができる。本実施の形態において、層間絶縁膜140は、たとえばシリコン酸化膜により構成することができる。
次いで、層間絶縁膜140上に、コンタクトホール150、コンタクトホール152、コンタクトホール154を形成するための開口を有するレジスト膜(マスク膜、不図示)を形成する。ここで、メモリ領域202でレジスト膜に形成される開口(第1の開口部)は、平面視で第1のゲート114aと少なくとも部分的に重なるとともにゲート電極108側方のシリコン基板102表面のシリサイド層132層上の領域で開口した形状を有する。本実施の形態において、メモリ領域202でレジスト膜に形成される開口は、平面視で第1のゲート114aのゲート電極108と少なくとも部分的に重なるように形成することができる。また、ロジック領域206でレジスト膜に形成される開口(第2の開口部)は、平面視で第2のゲート114bのゲート電極108と重なる形状を有する。その後、当該レジスト膜をマスクとしてメモリ領域202、拡散領域204、およびロジック領域206に、それぞれコンタクトホール150(第1のコンタクトホール)、コンタクトホール152、およびコンタクトホール154(第2のコンタクトホール)を形成する。具体的には、まず層間絶縁膜140および絶縁膜138をエッチングする。ここで、エッチングガスとしては、たとえば、C、C、C、C等のC系ガスにArやHe等の不活性ガスやO、N、CO等のガスを組み合わせて用いることができる。また、さらに、CHF等のC系ガスを添加することもできる。C系ガスをベースにすることにより、SiO/SiNのエッチング選択比を高くすることができる。
つづいて、エッチングガスを変えて、絶縁膜136をエッチングして、各コンタクトホール底面に絶縁膜134を露出させる。ここで、エッチングガスとしては、たとえば、CHFやCH、CHF等のC系ガスにAr、He等の不活性ガスやOを添加して用いることができる。また、C系ガスのかわりに、C系ガスにHを添加したものを用いることもできる。エッチングガスにF、H、およびOを含めることにより、SiNに対するエッチングレートをより高めることができる。
次いで、再びエッチングガスを変えて、各コンタクトホール底面に露出した絶縁膜134をエッチングしてシリコン基板102表面を露出させる。ここで、エッチングガスとしては、NFやCF、Arプラズマ等を用いることができる。また、DHFやNHFを含む薬液によるウェット前処理を行うこともできる。これにより、ここではバリアメタルの成膜の前処理も行うことができる。このとき、メモリ領域202の第1のゲート114a間の領域では、絶縁膜134の膜厚が薄く形成されている。そのため、コンタクトホール150を形成する際に、エッチング阻止絶縁膜136を除去した後、絶縁膜134をエッチングする際に、コンタクトホール150底面ではシリサイド層132を他の領域よりも先に露出させることができる。
コンタクトホール152やコンタクトホール154内の絶縁膜134をエッチングする際に第1のゲート114aのゲート電極108上の絶縁膜134も多少エッチングされる。しかし、第1のゲート114aのゲート電極108上のサイドウォール112で囲まれた凹部124においては、他の領域よりも絶縁膜134の膜厚が厚く形成されている。そのため、コンタクトホール152やコンタクトホール154内でそれぞれシリサイド層132およびシリサイド層130が露出された時点では、第1のゲート114aのゲート電極108上のシリサイド層130は、絶縁膜134で保護された状態で、露出しないようにすることができる(図5(b))。
この後、シリコン基板102上全面にバリアメタル膜160および金属膜162を形成し、コンタクトホール150、コンタクトホール152、およびコンタクトホール154内をバリアメタル膜160および金属膜162で埋め込む。つづいて、各コンタクトホール外に露出した金属膜162およびバリアメタル膜160を化学機械研磨法(Chemical Mechanical Polishing:CMP)で除去する。これにより、図1(a)に示したように、メモリ領域202、拡散領域204、およびロジック領域206に、それぞれコンタクト164、コンタクト166、およびコンタクト168が形成される。
図6および図7は、以上の手順の変形例を示す。
ここで、シリコン基板102上に有機膜120を形成して第1のゲート114aおよび第2のゲート114bを埋め込む手順までは、図2を参照して説明した手順と同様とすることができる(図6(a))。本例では、有機膜120を形成した後、レジスト膜122を形成する前に、CMP等でレジスト膜122の上面を除去してゲート電極108表面を露出させる(図6(b))。その後、有機膜120上に、メモリ領域202を選択的に開口したレジスト膜122を形成する(図7)。その後、レジスト膜122をマスクとして、メモリ領域202の第1のゲート114aのゲート電極108の上部を除去して、図3(a)を参照して説明したのと同様の凹部124を形成する。これ以降の処理は、図3から図5を参照して説明した手順と同様とすることができる。
本実施の形態において、以上の手順により、基板表面へのダメージを低減しつつ、自己整合コンタクトと基板のシリサイド化構造とを両立させることができる。以上の実施の形態において、メモリ領域202およびロジック領域206のシリコン基板102表面にシリサイド層132を形成した後に、シリコン基板102上全面に絶縁膜134成膜する。この際、ゲート電極108表面では比較的膜厚を厚くすることができるとともに、隣接する第1のゲート114aのサイドウォール112間の領域では、比較的膜厚を薄くすることができる。この構成により、この後に、自己整合的にコンタクトホールを形成する際に、コンタクトホール内にゲート電極が露出しないようにすることができる。また、本実施の形態において、メモリ領域202において、ゲート電極108上面を除去する際に、シリコン基板102表面が保護されているので、シリコン基板102表面にダメージを与えることなくゲート電極108の上面を除去することができる。さらに、このとき、シリコン基板102表面を有機膜120で保護しているため、この後に、サイドウォール112等の絶縁膜の膜減りが生じることなくシリコン基板102表面を露出させることができる。
また、メモリ領域202においては、自己整合コンタクトと基板のシリサイド化構造とを両立させるとともに、ロジック領域206において、サリサイド構造を形成することができる。ここで、サリサイド構造とは、シリコン基板表面およびポリシリコンで構成されたゲート電極表面をシリサイド化した構造を示す。このようなサリサイド構造は、通常、シリコン基板およびポリシリコン表面を露出させた状態で、基板全面にシリサイド化可能な金属膜を形成して熱処理を行うことによって、シリコン表面を同時にシリサイド化することにより得ることができるが、別々にシリサイド化することにより得ることもできる。
図8は、図1から図7を参照して説明した半導体装置100の具体例を示す図である。ここでは、メモリ領域202にDRAM(Dynamic Random Access Memory)構造が形成された場合を例として示す。図8(a)は断面図、図8(b)は上面図である。図8(a)は、図8(b)のB−B‘断面図である。ここでは、4つの第1のゲート114aが並置されている。また、隣接する第1のゲート114aのサイドウォール112間には、自己整合コンタクト(コンタクト164)がそれぞれ形成されている。
図9および図10は、図1から図7を参照して説明した半導体装置100の具体例の他の例を示す図である。ここでは、メモリ領域202にSRAM(Static Random Access Memory)構造が形成された例を示す。図9は上面図、図10(a)は図9のC−C’断面図、図10(b)は図9のD−D’断面図である。
図9に示すように、半導体装置100には、コンタクトがゲート電極108と接続しない自己整合コンタクト(自己整合コンタクト164a、自己整合コンタクト164b、自己整合コンタクト164c、自己整合コンタクト164d、自己整合コンタクト164e)と、コンタクトがゲート電極108と接続するとともに、当該ゲート電極108側方のソース・ドレイン領域103とも電気的に接続する共通コンタクト(共通コンタクト165a、共通コンタクト165b)とが混在している。このような場合、とくに、サリサイド構造と自己整合コンタクトとを両立させるのは困難であった。
たとえば、シリコン基板表面およびゲート電極表面を同時にシリサイド化するサリサイド構造を採用しようとすると、ゲート形成後にシリコン基板表面を露出させる必要がある。一方、ゲート電極と電気的に接続しない自己整合コンタクトを形成するためには、ゲート電極上にある程度厚い絶縁膜を形成する必要があるが、ゲート電極上に選択的に厚い絶縁膜を形成するのも困難だった。そのため、従来、自己整合コンタクトとサリサイド構造とを両立させる技術がなかった。そのため、トランジスタの高速化という点で改良の余地があり、トランジスタが高速化できないために、当該トランジスタを駆動するための周辺回路のサイズを小さくすることができず、半導体装置を小型化することができなかった。本実施の形態における方法によれば、自己整合コンタクトとサリサイド構造とを両立させることができる。これにより、システムLSI、混載メモリなどの高速デバイスをさらに微細化することができる。
(第2の実施の形態)
図11から図14は、本実施の形態における半導体装置の製造手順を示す工程断面図である。
本実施の形態において、サイドウォールを形成する前に、ゲート電極108上に絶縁膜170およびポリシリコン層171を形成しておく点で、第1の実施の形態における半導体装置100の製造手順と異なる。ここでもゲート電極108はポリシリコンにより構成することができる。
本実施の形態において、シリコン基板102表面に素子分離絶縁膜104を形成した後、シリコン基板102上全面に、ゲート絶縁膜106、ゲート電極108、絶縁膜170、およびポリシリコン層171をこの順で積層する。絶縁膜170は、たとえばシリコン酸化膜により構成することができる。ここで、絶縁膜170およびポリシリコン層171の膜厚により、後にサイドウォール112内に凹部124を形成する際の凹部124の深さが規定されることになる。絶縁膜170およびポリシリコン層171の膜厚は、それぞれ、たとえば5nmおよび50nm程度とすることができる。
次いで、シリコン基板102上全面にゲート形状の開口を有するレジスト膜(不図示)を形成し、当該レジスト膜をマスクとしてポリシリコン層171、絶縁膜170、ゲート電極108、およびゲート絶縁膜106をパターニングする。その後、ゲート形状にパターニングされたゲート電極108等をマスクとして不純物のイオン注入を行い、シリコン基板102表面にエクステンション領域105を形成する。つづいて、サイドウォール112を形成する。
図11(a)は、この状態を示す図である。ここで、メモリ領域202およびロジック領域206に、それぞれ3つのゲート第1のゲート114aおよび1つの第2のゲート114bが形成された状態を示す。本実施の形態において、ロジック領域206に形成された第2のゲート114bは、メモリ領域202に形成された第1のゲート114aよりも、ゲート電極108の幅が狭く形成されているが、目的に応じて両者の幅は等しくしても逆にしてもいずれでもよい。図11(a)に示した状態のとき、第1のゲート114aおよび第2のゲート114bのポリシリコン層171の上面は、サイドウォール112の上面と同じ高さを有する。
この状態で、シリコン基板102上の全面に、有機膜120を形成し、第1のゲート114aおよび第2のゲート114bを埋め込む。つづいて、有機膜120の上面をCMP等により除去し、ポリシリコン層171を露出させる(図11(b))。次いで、露出されたポリシリコン層171を除去して、サイドウォール112内上部に凹部124を形成する(図11(c))。本実施の形態において、ゲート電極108とポリシリコン層171との間に絶縁膜170が形成されているため、ポリシリコン層171を除去する際に、絶縁膜170がエッチング阻止膜として機能させることができる。つづいて、エッチングガスを変えて、絶縁膜170を除去する。これにより、ゲート電極108表面が露出される。これにより、各ゲートにおける凹部124の深さを均等にすることができる。このとき、シリコン基板102表面は有機膜120により保護されているため、シリコン基板102表面がダメージを受けることはない。
つづいて、有機膜120をアッシングにより除去して、シリコン基板102表面を露出させる(図12(a))。有機膜120をアッシングで除去することにより、サイドウォール112の膜減りが生じることなくシリコン基板102表面を露出させることができる。
次いで、サイドウォール112をマスクとして、シリコン基板102表面およびゲート電極108表面に不純物イオンを注入する。次いで、熱処理を行う。これにより、ソース・ドレイン領域103が形成される。なお、図示していないが、不純物イオンの注入は、レジスト膜を用いて、n型やp型等、種々のトランジスタに対して別々に行うことができる。その後、シリコン基板102上全面に金属層(不図示)を形成し、シリコン基板102の露出した表面にシリサイド層132を形成する。このとき、ゲート電極108表面もシリサイド化され、ゲート電極108表面にシリサイド層130が形成される(図12(b))。
その後、第1の実施の形態と同様に、シリコン基板102上の全面に絶縁膜134、エッチング阻止絶縁膜136、絶縁膜138、および層間絶縁膜140をこの順で形成する。本実施の形態において、さらに層間絶縁膜140の上に層間絶縁膜142を形成した例を示す。ここで、層間絶縁膜表面を平坦化するために層間絶縁膜140を形成した後、層間絶縁膜140表面をCMPで平坦化してさらにその上に層間絶縁膜142を形成する。層間絶縁膜142は、層間絶縁膜140と同様のシリコン酸化膜により構成することができる。
その後、層間絶縁膜142上に、コンタクトホール172、コンタクトホール174、およびコンタクトホール176を形成するための開口部を有するレジスト膜(不図示)を形成する。ここで、メモリ領域202でレジスト膜に形成される開口は、平面視において、第1のゲート114aのゲート電極108の一部と重なる形状を有する。つづいて、当該レジスト膜をマスクとしてメモリ領域202、およびロジック領域206に、それぞれコンタクトホール172、ならびにコンタクトホール174およびコンタクトホール176を形成する(図13(a))。
本実施の形態においても、このとき、メモリ領域202の第1のゲート114a間の領域では、絶縁膜134の膜厚が薄く形成されている。そのため、コンタクトホール150を形成する際に、エッチング阻止絶縁膜136を除去した後、絶縁膜134をエッチングする際に、コンタクトホール172底面ではシリサイド層132を他の領域よりも先に露出させることができる。また、第1のゲート114aのゲート電極108上のサイドウォール112で囲まれた凹部124においては、他の領域よりも絶縁膜134の膜厚が厚く形成されている。そのため、コンタクトホール172内でシリサイド層132が露出された時点では、第1のゲート114aのゲート電極108上のシリサイド層130は、絶縁膜134で保護された状態で、露出しないようにすることができる。
本実施の形態において、コンタクトホール174は、平面視でロジック領域206の第2のゲート114b上に形成される。本実施の形態において、ロジック領域206でも、ゲート電極108上部に凹部124が形成され、凹部124が絶縁膜134で埋め込まれている。そのため、絶縁膜134をエッチング除去して、コンタクトホール172やコンタクトホール176底面にシリサイド層132が露出するようになっても、コンタクトホール174底面には絶縁膜134が残ったままとなっている。ここで、絶縁膜134を完全に除去するまでエッチングを続けると、コンタクトホール172内でサイドウォール112のエッチングが進んでシリサイド層130が露出するおそれがある。そのため、本実施の形態において、コンタクトホール172およびコンタクトホール176底面にシリサイド層132が露出した時点で一旦エッチングを終了する。
次いで、シリコン基板102上の全面にレジスト膜178を形成して、コンタクトホール176内の絶縁膜134を除去するための開口部180を形成する(図13(b))。
つづいて、レジスト膜178を用いて、コンタクトホール174底面に残った絶縁膜134、絶縁膜109、ライナー絶縁膜110、絶縁膜111等を除去して、コンタクトホール182底面にゲート電極108上面のシリサイド層130を露出させる(図14(a))。このとき、コンタクトホール172およびコンタクトホール176はレジスト膜178で保護されているので、第1のゲート114aのサイドウォール112がエッチングされることなく、コンタクトホール182内の絶縁膜のみ選択的に除去することができる。
その後、シリコン基板102上全面にバリアメタル膜160および金属膜162を形成し、コンタクトホール172、コンタクトホール182、およびコンタクトホール176を埋め込む。さらに、コンタクトホール外のバリアメタル膜160および金属膜162をCMPで除去する。これにより、メモリ領域202およびロジック領域206に、それぞれコンタクト184、ならびにコンタクト186およびコンタクト188が形成される(図14(b))。
本実施の形態においても、第1の実施の形態と同様の効果が得られる。
さらに、本実施の形態における方法によれば、サイドウォール112に凹部124を形成する際の凹部124の深さを制御することができるので、最終的なゲート電極108の高さを均一に制御することができる。
以上、図面を参照して本発明の実施形態について述べたが、これらは本発明の例示であり、上記以外の様々な構成を採用することもできる。
以上の実施の形態においては、コンタクト164が平面視で正円形状である場合を例として説明した。しかし、コンタクト164は、平面視で、ゲート長方向の幅が小さい楕円形状とすることもできる。図17は、この例を示す図である。図17は、図8に示した半導体装置の他の例を示す。図17(a)は断面図、図17(b)は図17(a)のE−E’断面図である。このような構成とすることにより、ゲート間の幅を狭く保ったまま、コンタクト164とシリサイド層132との接触面積を広くすることができ、低抵抗化することができる。
さらに、以上の実施の形態においては、メモリ領域202に自己整合コンタクトが形成される例を示したが、目的に応じて、ロジック領域206においても、自己整合コンタクトを設けることができる。これにより、ロジック領域206においても、ゲート間隔を狭くすることができる。
100 半導体装置
102 シリコン基板
103 ソース・ドレイン領域
104 素子分離絶縁膜
105 エクステンション領域
106 ゲート絶縁膜
108 ゲート電極
109 絶縁膜
110 ライナー絶縁膜
111 絶縁膜
112 サイドウォール
114a 第1のゲート
114b 第2のゲート
120 有機膜
122 レジスト膜
124 凹部
130 シリサイド層
132 シリサイド層
134 絶縁膜
136 エッチング阻止絶縁膜
138 絶縁膜
140 層間絶縁膜
142 層間絶縁膜
150 コンタクトホール
152 コンタクトホール
154 コンタクトホール
160 バリアメタル膜
162 金属膜
164 コンタクト
166 コンタクト
168 コンタクト
170 絶縁膜
171 ポリシリコン層
172 コンタクトホール
174 コンタクトホール
176 コンタクトホール
178 レジスト膜
180 開口部
182 コンタクトホール
184 コンタクト
186 コンタクト
188 コンタクト
202 メモリ領域
204 拡散領域
206 ロジック領域

Claims (12)

  1. シリコン基板上に形成され、ポリシリコンで構成されたゲート電極およびその側壁に形成されたサイドウォールを含む第1のゲートを形成する工程と、
    前記シリコン基板上の全面に有機膜を形成して、前記第1のゲートを埋め込む工程と、
    前記有機膜の上部を除去して前記ゲート電極のポリシリコン上面を露出させる工程と、
    前記シリコン基板表面を前記有機膜で保護した状態で、露出された前記ゲート電極のポリシリコンを、所定の深さ除去して、前記サイドウォール内上部に凹部を形成する工程と、
    前記有機膜をアッシングにより除去して前記シリコン基板表面を露出する工程と、
    前記シリコン基板表面が露出された状態で、前記シリコン基板表面にシリサイド層を形成する工程と、
    前記シリコン基板上全面に絶縁膜を形成し、前記サイドウォール内の前記凹部を当該絶縁膜で埋め込む工程と、
    前記シリコン基板上全面に、平面視で前記第1のゲートと少なくとも部分的に重なるとともに当該ゲート電極側方の前記シリコン基板表面の前記シリサイド層上の領域で開口した第1の開口部を有するマスク膜を形成する工程と、
    前記マスク膜を用いて、前記絶縁膜を選択的に除去して、前記シリコン基板表面の前記シリサイド層に達する第1のコンタクトホールを形成する工程と、
    前記コンタクトホール内に導電材料を埋め込み、前記シリコン基板表面の前記シリサイド層と接続するとともに前記ゲート電極との間に前記絶縁膜を介して設けられた自己整合コンタクトを形成する工程と、
    を含む半導体装置の製造方法。
  2. 請求項1に記載の半導体装置の製造方法において、
    前記シリコン基板表面にシリサイド層を形成する工程において、前記ゲート電極表面にも同時にシリサイド層を形成することを特徴とする半導体装置の製造方法。
  3. 請求項1または2に記載の半導体装置の製造方法において、
    前記第1のゲートを形成する工程において、前記シリコン基板上に、少なくとも2つの前記第1のゲートが並置して形成され、
    前記凹部を当該絶縁膜で埋め込む工程は、2つの前記第1のゲート間の前記シリコン基板表面上において、前記サイドウォール内上部の前記凹部上よりも膜厚が薄い第1の絶縁膜を前記シリサイド層に接して形成する工程と、当該第1の絶縁膜上に、当該第1の絶縁膜に対してエッチング選択比を有する第2の絶縁膜を当該第1の絶縁膜に接して形成する工程とを含み、
    前記マスク膜を形成する工程において、当該マスク膜の前記第1の開口部は、2つの前記第1のゲート間の前記シリコン基板表面の前記シリサイド層上で開口するように形成される半導体装置の製造方法。
  4. 請求項3に記載の半導体装置の製造方法において、
    前記第1の絶縁膜を前記シリサイド層に接して形成する工程において、2つの前記第1のゲート間の領域は、前記サイドウォール内上部の前記凹部よりもアスペクト比が高く、前記第1の絶縁膜は、プラズマCVD法で形成される半導体装置の製造方法。
  5. 請求項1から4いずれかに記載の半導体装置の製造方法において、
    前記シリコン基板上には、メモリ領域およびロジック領域が設けられていて、
    前記第1のゲートを形成する工程において、前記メモリ領域上に前記第1のゲートを形成する半導体装置の製造方法。
  6. 請求項5に記載の半導体装置の製造方法において、
    前記第1のゲートを形成する工程において、前記メモリ領域上に前記第1のゲートを形成するとともに、前記ロジック領域上に、ポリシリコンで構成されたゲート電極およびその側壁に形成されたサイドウォールを含む第2のゲートを形成し、
    前記第1のゲートを埋め込む工程において、前記シリコン基板上の全面に有機膜を形成して、前記第1のゲートとともに前記第2のゲートを埋め込み、
    前記ゲート電極のポリシリコン上面を露出させる工程において、前記第2のゲート上をレジスト膜で保護して、前記第1のゲートの前記ゲート電極のポリシリコン上面を選択的に露出させ、
    前記シリコン基板表面を露出する工程において、前記有機膜とともに前記レジスト膜をアッシングにより除去し、
    前記マスク膜を形成する工程において、前記マスク膜は、平面視で前記第2のゲートの前記ゲート電極と重なる第2の開口部も有し、
    前記第1のコンタクトホールを形成する工程において、前記絶縁膜を選択的に除去して、前記第2のゲートの前記ゲート電極表面に達する第2のコンタクトホールを形成する工程と、
    前記自己整合コンタクトを形成する工程において、前記第2のコンタクトホール内にも前記導電材料を埋め込み、前記第2のゲートの前記ゲート電極と電気的に接続した第2のコンタクトを形成する半導体装置の製造方法。
  7. 請求項5に記載の半導体装置の製造方法において、
    前記第1のゲートを形成する工程において、前記メモリ領域上に前記第1のゲートを形成するとともに、前記ロジック領域上に、ポリシリコンで構成されたゲート電極およびその側壁に形成されたサイドウォールを含む第2のゲートを形成し、前記第1のゲートおよび前記第2のゲートの各前記ゲート電極は、それぞれ、第1のポリシリコン層と、中間絶縁膜と、第2のポリシリコン層とがこの順で積層された構成を有し、
    前記第1のゲートを埋め込む工程において、前記シリコン基板上の全面に有機膜を形成して、前記第1のゲートとともに前記第2のゲートを埋め込み、
    前記ゲート電極のポリシリコン上面を露出させる工程において、前記第1のゲートおよび前記第2のゲートの各前記第2のポリシリコン層の上面を露出させ、
    前記サイドウォール内上部に凹部を形成する工程において、前記第1のゲートおよび前記第2のゲートの各前記第2のポリシリコン層および前記中間絶縁膜を除去して、前記凹部内底面に、前記第1のポリシリコン層の上面を露出させ、
    前記マスク膜を形成する工程において、前記マスク膜は、平面視で前記第2のゲートの前記ゲート電極と重なる第2の開口部も有し、
    前記第1のコンタクトホールを形成する工程の後かつ前記自己整合コンタクトを形成する工程の前に、さらに平面視で前記第2のゲートの前記ゲート電極と重なる開口部を有するマスク膜を形成する工程と、当該マスク膜を用いて前記絶縁膜を選択的に除去して、前記第2のゲートの前記ゲート電極表面に達する第2のコンタクトホールを形成する工程と、を行い、
    前記自己整合コンタクトを形成する工程において、前記第2のコンタクトホール内にも前記導電材料を埋め込み、前記第2のゲートの前記ゲート電極と電気的に接続した第2のコンタクトを形成する半導体装置の製造方法。
  8. 請求項1から5いずれかに記載の半導体装置の製造方法において、
    前記第1のゲートを形成する工程において、前記ゲート電極は、第1のポリシリコン層と、中間絶縁膜と、第2のポリシリコン層とがこの順で積層された構成を有し、
    前記ゲート電極のポリシリコン上面を露出させる工程において、前記第2のポリシリコン層の上面を露出させ、
    前記サイドウォール内上部に凹部を形成する工程において、前記第2のポリシリコン層および前記中間絶縁膜を除去して、前記凹部内底面に、前記第1のポリシリコン層の上面を露出させる半導体装置の製造方法。
  9. シリコン基板と、
    前記シリコン基板上に形成されたゲート電極およびその側壁に形成されたサイドウォールを含む第1のゲートと、
    前記シリコン基板表面において、前記第1のゲートの前記サイドウォール側方に形成されたシリサイド層と、
    平面視で前記第1のゲートと少なくとも部分的に重なるとともに、前記シリコン基板表面の前記シリサイド層に達する第1のコンタクトと、
    を含み、
    前記第1のコンタクトと、前記第1のゲートの前記ゲート電極との間には、絶縁膜が配置されている半導体装置。
  10. 請求項9に記載の半導体装置において、
    前記第1のゲートの前記ゲート電極は、ポリシリコンにより構成され、当該ポリシリコンの表面にもシリサイド層が形成された半導体装置。
  11. 請求項9または10に記載の半導体装置において、
    前記シリコン基板上には、メモリ領域およびロジック領域が設けられていて、
    前記第1のゲートは、前記メモリ領域上に形成された半導体装置。
  12. 請求項11に記載の半導体装置において、
    前記シリコン基板上の前記ロジック領域上に形成され、ポリシリコンで構成されたゲート電極およびその側壁に形成されたサイドウォールを含む第2のゲートと、
    平面視で前記第2のゲートの前記ゲート電極と重なり、前記第2のゲートの前記ゲート電極と電気的に接続した第2のコンタクトと、
    をさらに含み、
    前記第2のゲートの前記ポリシリコン表面にはシリサイド層が形成されており、前記第2のコンタクトは、当該シリサイド層と接続して設けられた半導体装置。
JP2009167205A 2008-07-18 2009-07-15 半導体装置の製造方法 Expired - Fee Related JP5461904B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009167205A JP5461904B2 (ja) 2008-07-18 2009-07-15 半導体装置の製造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008187325 2008-07-18
JP2008187325 2008-07-18
JP2009167205A JP5461904B2 (ja) 2008-07-18 2009-07-15 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2010045344A true JP2010045344A (ja) 2010-02-25
JP5461904B2 JP5461904B2 (ja) 2014-04-02

Family

ID=41530659

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009167205A Expired - Fee Related JP5461904B2 (ja) 2008-07-18 2009-07-15 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US8143152B2 (ja)
JP (1) JP5461904B2 (ja)
TW (1) TW201007885A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013239597A (ja) * 2012-05-15 2013-11-28 Toshiba Corp 半導体集積回路

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8258578B2 (en) * 2009-08-31 2012-09-04 Advanced Micro Devices, Inc. Handshake structure for improving layout density
TWI479609B (zh) * 2010-05-19 2015-04-01 Winbond Electronics Corp 快閃記憶體之製作方法
FR2963161B1 (fr) 2010-07-23 2012-08-24 Commissariat Energie Atomique Procede de realisation d?un circuit integre
US8881209B2 (en) 2012-10-26 2014-11-04 Mobitv, Inc. Feedback loop content recommendation
CN104752175B (zh) * 2013-12-27 2018-04-13 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US10276436B2 (en) * 2016-08-05 2019-04-30 International Business Machines Corporation Selective recessing to form a fully aligned via
CN109755245B (zh) 2017-11-08 2020-12-01 华邦电子股份有限公司 存储器装置及其制造方法
US10580693B2 (en) * 2018-07-11 2020-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Contact conductive feature formation and structure
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04101433A (ja) * 1990-08-20 1992-04-02 Nec Corp 半導体装置の製造方法
JPH0846197A (ja) * 1994-06-30 1996-02-16 Internatl Business Mach Corp <Ibm> 高密度cmos用の簡略接触方法
JPH09289249A (ja) * 1996-04-22 1997-11-04 Sony Corp 半導体装置の製造方法
JPH10144915A (ja) * 1996-11-05 1998-05-29 Fujitsu Ltd 半導体装置及びその製造方法
JPH1174219A (ja) * 1997-06-30 1999-03-16 Toshiba Corp 半導体装置の製造方法および半導体装置
JPH11111974A (ja) * 1997-09-30 1999-04-23 Matsushita Electron Corp 半導体装置およびその製造方法
JPH11195787A (ja) * 1998-01-06 1999-07-21 Sony Corp 半導体装置
US5953614A (en) * 1997-10-09 1999-09-14 Lsi Logic Corporation Process for forming self-aligned metal silicide contacts for MOS structure using single silicide-forming step
JPH11317448A (ja) * 1998-05-01 1999-11-16 Nec Corp 半導体装置及びその製造方法
US6133096A (en) * 1998-12-10 2000-10-17 Su; Hung-Der Process for simultaneously fabricating a stack gate flash memory cell and salicided periphereral devices
JP2000353803A (ja) * 1999-06-10 2000-12-19 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2001127270A (ja) * 1999-10-27 2001-05-11 Nec Corp 半導体装置及びその製造方法
JP2001168059A (ja) * 1999-12-03 2001-06-22 Matsushita Electronics Industry Corp 半導体装置及びその製造方法
JP2002184860A (ja) * 2000-10-05 2002-06-28 Oki Electric Ind Co Ltd 半導体装置および半導体装置におけるコンタクトホールの形成方法
JP2002305290A (ja) * 2001-04-06 2002-10-18 Nec Corp 磁性メモリ及びその製造方法
JP2002313957A (ja) * 2001-04-18 2002-10-25 Matsushita Electric Ind Co Ltd 半導体集積回路装置及びその製造方法
JP2004327702A (ja) * 2003-04-24 2004-11-18 Toshiba Corp 半導体集積回路及びその製造方法
US20060125051A1 (en) * 2004-08-27 2006-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for metal gate structure for MOS devices
JP2008066644A (ja) * 2006-09-11 2008-03-21 Fujitsu Ltd 半導体装置及びその製造方法
JP2008071861A (ja) * 2006-09-13 2008-03-27 Renesas Technology Corp 半導体記憶装置およびその製造方法
JP2008108897A (ja) * 2006-10-25 2008-05-08 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2008159809A (ja) * 2006-12-22 2008-07-10 Nec Electronics Corp 半導体装置の製造方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100485893B1 (ko) * 2003-03-17 2005-04-29 매그나칩 반도체 유한회사 반도체 소자의 제조방법
US7575990B2 (en) * 2005-07-01 2009-08-18 Macronix International Co., Ltd. Method of forming self-aligned contacts and local interconnects

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04101433A (ja) * 1990-08-20 1992-04-02 Nec Corp 半導体装置の製造方法
JPH0846197A (ja) * 1994-06-30 1996-02-16 Internatl Business Mach Corp <Ibm> 高密度cmos用の簡略接触方法
JPH09289249A (ja) * 1996-04-22 1997-11-04 Sony Corp 半導体装置の製造方法
JPH10144915A (ja) * 1996-11-05 1998-05-29 Fujitsu Ltd 半導体装置及びその製造方法
JPH1174219A (ja) * 1997-06-30 1999-03-16 Toshiba Corp 半導体装置の製造方法および半導体装置
JPH11111974A (ja) * 1997-09-30 1999-04-23 Matsushita Electron Corp 半導体装置およびその製造方法
US5953614A (en) * 1997-10-09 1999-09-14 Lsi Logic Corporation Process for forming self-aligned metal silicide contacts for MOS structure using single silicide-forming step
JPH11195787A (ja) * 1998-01-06 1999-07-21 Sony Corp 半導体装置
JPH11317448A (ja) * 1998-05-01 1999-11-16 Nec Corp 半導体装置及びその製造方法
US6133096A (en) * 1998-12-10 2000-10-17 Su; Hung-Der Process for simultaneously fabricating a stack gate flash memory cell and salicided periphereral devices
JP2000353803A (ja) * 1999-06-10 2000-12-19 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2001127270A (ja) * 1999-10-27 2001-05-11 Nec Corp 半導体装置及びその製造方法
JP2001168059A (ja) * 1999-12-03 2001-06-22 Matsushita Electronics Industry Corp 半導体装置及びその製造方法
JP2002184860A (ja) * 2000-10-05 2002-06-28 Oki Electric Ind Co Ltd 半導体装置および半導体装置におけるコンタクトホールの形成方法
JP2002305290A (ja) * 2001-04-06 2002-10-18 Nec Corp 磁性メモリ及びその製造方法
JP2002313957A (ja) * 2001-04-18 2002-10-25 Matsushita Electric Ind Co Ltd 半導体集積回路装置及びその製造方法
JP2004327702A (ja) * 2003-04-24 2004-11-18 Toshiba Corp 半導体集積回路及びその製造方法
US20060125051A1 (en) * 2004-08-27 2006-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for metal gate structure for MOS devices
JP2008066644A (ja) * 2006-09-11 2008-03-21 Fujitsu Ltd 半導体装置及びその製造方法
JP2008071861A (ja) * 2006-09-13 2008-03-27 Renesas Technology Corp 半導体記憶装置およびその製造方法
JP2008108897A (ja) * 2006-10-25 2008-05-08 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2008159809A (ja) * 2006-12-22 2008-07-10 Nec Electronics Corp 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013239597A (ja) * 2012-05-15 2013-11-28 Toshiba Corp 半導体集積回路

Also Published As

Publication number Publication date
US20100015789A1 (en) 2010-01-21
JP5461904B2 (ja) 2014-04-02
TW201007885A (en) 2010-02-16
US8143152B2 (en) 2012-03-27

Similar Documents

Publication Publication Date Title
JP5461904B2 (ja) 半導体装置の製造方法
KR100467023B1 (ko) 자기 정렬 접촉 구조 및 그 형성 방법
US8643126B2 (en) Self aligned silicided contacts
US6992358B2 (en) Semiconductor device and method for manufacturing the same
US20140035051A1 (en) Semiconductor device and associated methods
JP2009158591A (ja) 半導体装置およびその製造方法
US6744139B2 (en) Semiconductor device
JP2007027348A (ja) 半導体装置及びその製造方法
KR20040085912A (ko) 반도체소자의 제조방법
JPH11135779A (ja) 半導体装置及びその製造方法
US11302534B2 (en) Semiconductor structure with gate dielectric layer and fabrication method thereof
KR100479604B1 (ko) 반도체 소자의 제조 방법
CN108122824B (zh) 半导体结构及其形成方法
US20080081463A1 (en) Method for fabricating storage node contact in semiconductor device
TWI451533B (zh) 嵌入式快閃記憶體的製造方法
US6812096B2 (en) Method for fabrication a flash memory device having self-aligned contact
JPH1197529A (ja) 半導体装置の製造方法
KR100713927B1 (ko) 반도체 소자의 제조방법
KR20050052027A (ko) 리세스된 게이트를 갖는 반도체소자 및 그 제조방법
KR20070001590A (ko) 반도체 소자의 리세스 게이트 형성방법
KR100627570B1 (ko) 반도체 소자의 제조 방법
KR100689672B1 (ko) 반도체소자의 제조방법
KR20050002075A (ko) 반도체소자 제조 방법
KR100923763B1 (ko) 반도체 소자의 콘택홀 형성 방법
KR100266028B1 (ko) 반도체장치 및 그 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120615

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131018

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131029

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131210

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140116

R150 Certificate of patent or registration of utility model

Ref document number: 5461904

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees