JPH1174219A - 半導体装置の製造方法および半導体装置 - Google Patents

半導体装置の製造方法および半導体装置

Info

Publication number
JPH1174219A
JPH1174219A JP10155952A JP15595298A JPH1174219A JP H1174219 A JPH1174219 A JP H1174219A JP 10155952 A JP10155952 A JP 10155952A JP 15595298 A JP15595298 A JP 15595298A JP H1174219 A JPH1174219 A JP H1174219A
Authority
JP
Japan
Prior art keywords
film
forming
insulating film
metal
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10155952A
Other languages
English (en)
Other versions
JP3232043B2 (ja
Inventor
Kazuaki Nakajima
一明 中嶋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP15595298A priority Critical patent/JP3232043B2/ja
Priority to US09/103,374 priority patent/US6235627B1/en
Publication of JPH1174219A publication Critical patent/JPH1174219A/ja
Application granted granted Critical
Publication of JP3232043B2 publication Critical patent/JP3232043B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Abstract

(57)【要約】 【課題】 配線、電極にドライエッチングが困難な金属
シリサイド材料が採用可能で、セルフアライン・コンタ
クト技術にも適用可能とする。 【解決手段】 側面が第1の絶縁膜105、底面がシリ
コン膜102からなる溝部108及び第1の絶縁膜の周
囲に位置する第3の絶縁膜107を形成し、金属膜10
9を形成した後に熱処理によりシリコン膜102と金属
膜109とを反応させて溝部の底部に金属シリサイド層
111を形成し、未反応の金属膜を除去し、金属シリサ
イド層111上に第2の絶縁膜112を形成することに
より第1及び第2の絶縁膜に覆われた配線又は電極を形
成し、第3の絶縁膜に第1及び第2の絶縁膜に対して自
己整合的に形成したコンタクト孔に導電材114を充填
する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置及びその
製造方法、特に金属シリサイドを用いた配線又は電極に
関する半導体装置及びその製造方法に関する。
【0002】
【従来の技術】近年、半導体デバイスの高集積化及び高
速化に対する要求が高まりつつある。これらの要求を実
現するために、素子間および素子寸法の縮小化、微細化
が進められる一方、内部配線材料の低抵抗化等が検討さ
れている。
【0003】とりわけRC遅延が顕著に現れるメモリ装
置のワード線では、低抵抗化が大きな課題となってい
る。そこで、最近ではワード線の低抵抗化を図るため、
多結晶シリコン膜と金属シリサイド膜との2層構造から
なるポリサイドゲートが広く採用されている。高融点金
属シリサイド膜は、多結晶シリコン膜に比べ抵抗が約1
桁低いので、低抵抗配線の材料として有望である。な
お、シリサイドとしては、タングステンシリサイド(W
Six )が最も広く使われている。
【0004】しかしながら、0.15μm以下の微細な
配線に対応するためには、さらに配線の低抵抗化を図っ
て遅延時間を短縮することが求められている。ポリサイ
ド構造を用いて抵抗1Ω/sq以下の低い抵抗を有する
ゲート電極を実現するためには、より低い比抵抗を有す
るるシリサイドが必要とされる。
【0005】低抵抗金属シリサイドとしては、コバルト
(Co)やニッケル(Ni)等のシリサイドがある。し
かしながら、これらの金属のハロゲン化物の蒸気圧が低
いため、ドライエッチングによるゲート電極加工は非常
に困難である。
【0006】そこで、上記低抵抗金属シリサイドを採用
する場合、一般にサリサイド(SALICIDE:Self
Aligned Silicidation )技術が用いられる。この技術
は、ゲート電極及びソース/ドレイン上にCo等の金属
を堆積した後に加熱処理を施すことにより、シリコンが
露出した部分のみに選択的にシリサイドを形成するもの
である。この技術を採用した場合、金属シリサイドをド
ライエッチングする必要がなく、かつ、一度にゲート電
極及びソース/ドレイン上にシリサイド層を形成するこ
とができる。
【0007】一方、微細化・高集積化が進むにつれ、露
光装置の合わせ精度に対する要求が厳しくなってきてい
る。特にDRAMに代表される半導体メモリーはその集
積度が高く、ゲート電極とソース・ドレインへのコンタ
クトとの間の合わせ精度が最も厳しい。
【0008】そこで、DRAMの製造プロセスでは、こ
の問題を回避すべくセルフアライン・コンタクト(SA
C:Self Aligned Contact)技術が採用されている。こ
のSAC技術は、ゲート電極をシリコン窒化膜等で囲ん
だ後、シリコン酸化膜/シリコン窒化膜の高選択エッチ
ング技術を用いてコンタクトを開孔するというものであ
る。このSAC技術により、コンタクトの位置が多少ゲ
ート電極側にずれても、ゲート電極とコンタクトとの間
に必ずシリコン窒化膜が残り、これらの間の電気的なシ
ョートは起きない。よって、コンタクトの合わせずれに
対するマージンが向上する。
【0009】しかし、前述したサリサイド技術を用いて
ゲート電極を形成する場合、ゲート電極上部に金属シリ
サイドを形成する工程のために、ゲート電極上部を予め
絶縁膜で覆っておくことができない。ゲート電極上部に
金属シリサイド形成した後、その上に絶縁膜を形成した
のでは、コンタクトホールの形成がセルフアラインでは
行われない。つまり、サリサイド技術とセルフアライン
・コンタクト技術は両立しないのである。このため、上
記サリサイド技術は、メモリーなどの高集積度の半導体
デバイスには利用することが非常に困難である。
【0010】このように、コバルトやニッケル等の低抵
抗金属シリサイドをゲート電極に採用しようとしても、
ドライエッチングが非常に困難であるため、所望の形状
に加工することができない。そのため、サリサイド技術
を用いることが考えられるが、この場合セルフアライン
・コンタクト技術と両立させることができないため、高
集積度の半導体デバイスに適用することが非常に困難で
ある。
【0011】
【発明が解決しようとする課題】このように、コバルト
やニッケル等の低抵抗金属シリサイドをゲート電極に採
用しようとしても、ドライエッチングが非常に困難であ
るため、所望の形状に加工することができなかった。そ
のため、サリサイド技術を用いることが考えられるが、
この場合セルフアラインコンタクト技術と両立させるこ
とができないため、高集積度の半導体デバイスに適用す
ることが非常に困難であった。
【0012】本発明は、ドライエッチングが困難な金属
シリサイド材料まで採用することができるとともに、セ
ルフアラインコンタクト技術にも対応可能な半導体装置
およびその製造方法を提供することを目的とする。
【0013】
【課題を解決するための手段】本発明の半導体装置の製
造方法(請求項1)は、半導体基板の主面に、側面が第
1の絶縁膜からなり底面がシリコン膜からなる溝部を形
成する工程と、前記溝部の底部の前記シリコン膜上に金
属膜を形成する工程と、熱処理により前記シリコン膜と
前記金属膜とを反応させて前記溝部の底部に選択的に金
属シリサイド層を形成する工程と、前記金属シリサイド
層を形成するステップの後に、前記金属シリサイドに転
換された部分以外の前記金属膜を除去する工程と、前記
金属シリサイド層上に第2の絶縁膜を形成することによ
り前記第1及び第2の絶縁膜に覆われた配線と電極のい
ずれかを形成する工程とを有することを特徴とする。
【0014】また、本発明の半導体装置の製造方法(請
求項2)においては、前記溝部を形成する工程は、ゲー
ト絶縁膜が形成された前記半導体基板の前記主面に、側
面が前記第1の絶縁膜からなり底面が前記シリコン膜か
らなる前記溝部及び前記第1の絶縁膜の周囲に位置する
第3の絶縁膜を形成する工程を含み、前記配線と前記電
極のいずれかを形成する工程の後に、前記第3の絶縁膜
に前記第1及び第2の絶縁膜に対して自己整合的にコン
タクト孔を形成する工程と、前記コンタクト孔内に導電
材を充填する工程とをさらに有することを特徴とする。
【0015】また、本発明の半導体装置の製造方法(請
求項3)は、ゲート絶縁膜が形成された半導体基板の主
面に、側面が第1の絶縁膜からなり底面がシリコン膜か
らなる溝部及び前記第1の絶縁膜の周囲に位置する第3
の絶縁膜を形成する工程と、前記溝部の底部の前記シリ
コン膜上に第1の金属膜を形成する工程と、熱処理によ
り前記シリコン膜と前記第1の金属膜とを反応させて前
記溝部の底部に選択的に第1の金属シリサイド層を形成
する工程と、前記第1の金属シリサイド層を形成するス
テップの後に、前記第1の金属シリサイド層に転換され
た部分以外の前記第1の金属膜を除去する工程と、前記
第1の金属シリサイド層上に第2の絶縁膜を形成するこ
とにより、前記第1及び前記第2の絶縁膜に覆われた配
線と電極のいずれかを形成する工程と、前記第3の絶縁
膜に、前記第1及び前記第2の絶縁膜に対して自己整合
的に、コンタクト孔を形成する工程と、前記コンタクト
孔内に導電材を充填する工程とを有することを特徴とす
る。
【0016】また、本発明の半導体装置の製造方法(請
求項4)においては、前記溝部および前記第3の絶縁膜
を形成する工程は、ゲート絶縁膜が形成された前記半導
体基板の前記主面に、前記シリコン膜、第4の絶縁層、
ダミー膜、および第5の絶縁層を順次積層した積層層を
形成する工程と、前記積層層を所望のパターンに加工し
て、積層ゲート電極層を形成する工程と、前記積層ゲー
ト電極層の両側の前記半導体基板表面に、1対のソース
・ドレイン領域を形成する工程と、前記積層ゲート電極
層の側面に第6の絶縁膜を形成する工程と、前記ソース
・ドレイン領域上の前記ゲート絶縁膜を除去して、露出
された前記ソース・ドレイン領域上に第2の金属膜を形
成する工程と、熱処理により、前記ソース・ドレイン領
域と前記第2の金属膜とを反応させて、前記ソース・ド
レイン領域の表面に第2の金属シリサイド層を形成する
工程と、前記第2の金属シリサイド層を形成するステッ
プの後に、前記第2の金属シリサイド層に転換された部
分以外の前記第2の金属膜を除去する工程と、前記半導
体基板全面に前記第3の絶縁膜を形成する工程と、前記
第3、第2、および第1の絶縁膜を、前記ダミー膜の上
面が露出するまで平坦化する工程と、前記ダミー膜を除
去する工程とを含むことを特徴とする。
【0017】また、本発明の半導体装置の製造方法(請
求項5)においては、前記コンタクト孔は、前記ソース
・ドレイン領域の一方の上に形成され、前記コンタクト
孔を埋める前記導電材は、前記第2の金属シリサイド層
に接続されることを特徴とする。
【0018】本発明によれば、金属シリサイドをドライ
エッチングによって加工しなくてもよいので、従来採用
が困難であった低抵抗金属シリサイドをゲート配線、ゲ
ート電極等に用いることができるとともに、配線、電極
の周囲が絶縁膜に覆われているので、セルフアライン・
コンタクト技術にも対応可能となる。
【0019】従って、本発明は金属シリサイドのドライ
エッチングが困難な材料を用いた場合に特に効果的であ
る。一般にドライエッチングにはハロゲン元素を含むガ
スが用いられることから、ハロゲン化物の蒸気圧が低い
金属を含む金属シリサイドに適用した場合に効果的であ
る。
【0020】このような観点から、前記金属膜はハロゲ
ン化物の融点又は昇華点が300℃以上の少なくとも1
つの金属によって構成されていることが好ましい。ま
た、前記金属膜は、コバルト(Co)、クロム(C
r)、ニッケル(Ni)、マグネシウム(Mg)、ハフ
ニウム(Hf)、ロジウム(Rh)、パラジウム(P
d)、白金(Pt)、バナジウム(V)、ジルコニウム
(Zr)の中から選択された少なくとも1つの金属によ
って構成されていることが好ましい。
【0021】ただし、本発明の製造方法は必ずしもこれ
らの金属に限定されるものではなく、例えばチタン(T
i)等のハロゲン化物の融点又は昇華点が低いものに適
用することも可能である。
【0022】前記第1及び第2の絶縁膜には例えばシリ
コン窒化膜を用いることができ、また、前記第3の絶縁
膜には例えばシリコン酸化膜を用いることができる。ま
た、前記製造方法によれば、ドライエッチングが困難な
金属シリサイドをゲート配線、ゲート電極等に用いるこ
とができ、また、セルフアライン・コンタクト技術にも
対応可能なことから、以下の半導体装置を得ることがで
きる。
【0023】すなわち、本発明の半導体装置(請求項1
1)は、半導体基板と、前記半導体基板上に形成され、
シリコン及びこのシリコン上の金属シリサイドからなる
配線および電極のいずれかと、前記配線及び前記電極の
いずれかの側面及び上面を覆う絶縁膜と、前記絶縁膜の
上端からに前記半導体基板の表面にかけて、前記絶縁膜
の一部に沿って形成されたコンタクト用の導電部材とを
有し、前記金属シリサイドは、そのハロゲン化物の融点
および昇華点のいずれかが300℃以上の少なくとも1
つの金属を含んで構成されることを特徴とする。
【0024】また、前記金属シリサイドはコバルト(C
o)、クロム(Cr)、ニッケル(Ni)、マグネシウ
ム(Mg)、ハフニウム(Hf)、ロジウム(Rh)、
パラジウム(Pd)、白金(Pt)、バナジウム
(V)、ジルコニウム(Zr)の中から選択された少な
くとも1つの金属を含むことを特徴とする。
【0025】また、前記コンタクト用の導電部材は、前
記半導体基板表面における平面サイズよりも、前記絶縁
膜上端における平面サイズが大であることを特徴とする
(請求項12)。
【0026】本発明者は、以前金属膜を溝内部に埋め込
む方法を特許出願している(特開平8−26453
1)。この方法は、溝形成後に金属膜を全面に成膜し、
さらに金属膜を平坦化することにより、溝内部に金属膜
を埋め込む構造を形成するものである。
【0027】しかしながら、金属膜を平坦化する工程
は、その平坦化プロセスのばらつきが直接金属膜の膜厚
ばらつきを産む可能性がある。例えば、化学的機械的研
磨(CMP)法によって金属膜を平坦化する方法では、
広いパターンで溝内部の埋め込み金属膜が薄膜化する効
果(ディッシング)や、ライン&スペース用にパターン
が連続したところで、埋め込んだ金属だけではなく、溝
まで削れてしまう効果(シニング)等によって、溝内部
の埋め込み金属膜の膜厚や溝深さにパターン依存性が発
生してしまう。
【0028】また、例えばドライエッチングによって、
金属膜のエッチバックを行い、平坦化する方法が有る。
しかしながら、異なる溝開口面積において、エッチング
速度が変化するという、いわゆるローディング効果が発
生し、金属膜の残膜はパターン幅等に依存してしまう。
【0029】このような、金属膜の膜厚ばらつきは、ゲ
ート電極の抵抗ばらつきに直接反映するため、上記の平
坦化技術により半導体素子を作成すると、その素子特性
のばらつき増大を招く可能性がある。
【0030】本発明によれば、開口した溝底部に、自己
整合的に金属シリサイド層を形成することが可能であ
り、シリサイド層の平坦化工程を必要としない。さら
に、セルフアラインコンタクト(SAC)に対応すべ
く、シリサイド上層と側壁を同一の絶縁膜で覆う覆う工
程において、金属膜を埋め込む構造を形成する場合に
も、本発明は効果的である。
【0031】すなわち、金属膜を溝に埋め込む方法の場
合、例えばドライエッチング法により金属膜のみを溝上
端より下げる工程、いわゆるリセス工程が必要となる。
しかしながら、金属膜のエッチング量を任意の深さで制
御する技術は非常に難しく、先に述べたローディング効
果の他に、エッチング速度の面内均一性などが金属膜の
残膜に大きく影響してしまう。
【0032】一方、シリサイド層を自己整合的に形成す
る方法の場合、シリサイド層が溝底部のシリコン層に潜
り込むように形成されるため、成膜する金属膜厚を制御
すれば、溝上端よりもシリサイド層の上端を低い位置に
設けることが可能である。つまり、リセス工程を入れず
とも、SAC構造を形成することができる。
【0033】以上のように、シリサイド層を溝内部に自
己整合的に形成する手法を採用することにより、ゲート
電極低抵抗層のばらつきを増大させる工程を省略するこ
とができ、かつ素子特性の向上を図ることが可能とな
る。
【0034】
【発明の実施の形態】以下、図面を参照して、本発明の
実施の形態を説明する。 (第1の実施形態)図1は、本発明の第1の実施形態に
係るMOS型電界効果トランジスタのゲート電極パター
ンの形成を段階的に示す断面図である。
【0035】まず、図1(a)に示すように、単結晶シ
リコンからなる半導体基板100上に、ゲート絶縁膜と
して薄い酸化膜101(膜厚5nm)を形成し、その上
に化学的気相成長(CVD)法を用いて多結晶シリコン
膜102(膜厚200nm)を堆積する。その後、例え
ば、P+ イオンを加速電圧10keV、注入量5×10
15cm-2の条件で多結晶シリコン膜中にドーピングし、
さらに、例えば、窒素雰囲気中で800℃、30分の加
熱処理を行う。この後、多結晶シリコン膜102上にフ
ォトレジスト(膜厚1μm)をスピンコート法により塗
布し、このフォトレジストをフォトマスクを通して露光
し、現像して、例えば0.15μm幅のレジストパター
ンを形成する。
【0036】続いて、ドライエッチング装置を用いて、
レジストパターンに沿って多結晶シリコン膜102をエ
ッチングする。残存したレジストパターンは、多結晶シ
リコン膜102のエッチング後にO2 アッシングにより
剥離する。
【0037】次に、図1(b)に示すように、多結晶シ
リコン膜102のエッチング時に削られた薄いシリコン
酸化膜101の回復と多結晶シリコン膜102のコーナ
ー部分103aを丸めるため、後酸化工程を行い、酸化
膜103を形成する。これにより、ゲート酸化膜101
は元の膜厚まで回復し、かつ多結晶シリコン膜102の
コーナー部分103aが丸められる。その結果、ゲート
電極のコーナー部分103aにおける電界集中が避けら
れ、さらにはゲート酸化膜101の信頼性が向上する。
なお、この後酸化工程は、デバイスの信頼性をさらに向
上させることを目的としており、必ずしも全てのデバイ
スに対して行う必要は無い。
【0038】次に、図1(c)示すように、イオン注入
法により例えばAs+ イオンを加速電圧30keV、ド
ーズ量3×1014cm-2の条件で基板へドーピングし、
さらに例えば窒素雰囲気中で900℃、30秒程度の加
熱処理を行い、N型ドープ層(ソース/ドレイン領域)
104を形成うる。
【0039】次に、図1(d)に示すように、CVD法
によりシリコン窒化膜105(膜厚25nm)を堆積
し、これをドライエッチング法によりエッチバックし、
多結晶シリコン膜102の側壁にのみシリコン窒化膜1
05を残す。続いて、イオン注入法により例えばAs+
イオンを加速電圧45keV,ドーズ量3×1015cm
-2の条件で基板へドーピングし、さらに例えば窒素雰囲
気中で800℃、30分程度の加熱処理を行い、N+
ープ層(ソース/ドレイン領域)106を形成する。
【0040】次に、図1(e)に示すように、CVD法
によりシリコン酸化膜107(膜厚400nm)を堆積
し、これを多結晶シリコン膜102の上面が現れる高さ
まで例えば化学的機械的研磨(CMP)法を用いて平坦
化する。
【0041】次に、図1(f)に示すように、多結晶シ
リコン膜102表面をドライエッチングにより、シリコ
ン酸化膜107表面よりもおよそ100nm低い位置ま
で後退させる。この結果、側面がシリコン窒化膜105
からなり、底面が多結晶シリコン膜102からなる溝1
08が形成される。
【0042】次に、図1(g)に示すように、スパッリ
ング法により金属膜として例えばコバルト膜109(膜
厚10nm)を全面に堆積し、さらにその上に、加熱雰
囲気中に含まれる残留酸素によるコバルト膜109の酸
化を防ぐため、酸化防止膜として例えば窒化チタン膜1
10(膜厚20nm)を成膜する。なお、加熱処理時に
残留酸素分圧比を十分低減できるならば、必ずしも酸化
防止膜を成膜しなくても良い。
【0043】次に、図1(h)に示すように、例えば6
00℃で60秒間の加熱処理を行い、コバルト膜109
と多結晶シリコン膜102とを反応させて、溝底部にコ
バルトシリサイド層111(膜厚35nm)を形成す
る。その後、例えば硫酸と過酸化水素水の混合液によっ
て、シリサイド層111に対し選択的にコバルト膜10
9及び窒化チタン膜110を除去し、さらに例えば85
0℃で30秒間の加熱処理を行う。
【0044】次に、図1(i)に示すように、シリコン
窒化膜112をCVD法により堆積し、これをシリコン
酸化膜107表面の高さまで例えばCMP法を用いて平
坦化する。その結果、シリコン窒化膜105及び112
で上面及び側面を囲まれたコバルトシリサイド層111
及び多結晶シリコン膜102の積層膜からなるゲート電
極パターンが形成される。
【0045】次に、図1(j)に示すように、シリコン
酸化膜/シリコン窒化膜の高選択比エッチング技術を用
いて、コンタクトホールを開孔する。続いて、スパッタ
リング法により、チタン膜(10nm)及び窒化チタン
膜(10nm)からなる積層膜113を堆積し、さらに
CVD法によりタングステン膜114を成膜する。その
後、シリコン酸化膜107表面まで、チタン膜及び窒化
チタン膜からなる積層膜113とタングステン膜114
とを、例えばCMP法を用いて平坦化する。
【0046】このとき、コンタクトホールパターンの位
置合わせがゲート電極側に多少ずれても、シリコン窒化
膜105及び112がゲート電極とコンタクトとの間に
残るため、ゲート電極とコンタクトが電気的にショート
することは無い。この場合、図1(j)に示すように、
シリコン窒化膜の表面形状に対応した表面形状でコンタ
クトが形成されることになる。
【0047】以上のように、本発明を用いることによ
り、エッチング不可能な金属シリサイドを用いることが
できるとともに、セルフアライン・コンタクト技術を採
用することが可能となる。よって、メモリー等の高集積
デバイスにも対応可能な低抵抗ゲート電極を提供するこ
とが可能となる。
【0048】なお、本実施形態では、多結晶シリコン中
へのドーピングを、成膜後に次いでイオン注入法により
行ったが、予め不純物を含んだ多結晶シリコン膜を用い
てもよい。さらには、金属シリサイド層形成後に、金属
シリサイド層を通して多結晶シリコン膜へのドーピング
を行ってもよい。 (第2の実施形態)次に、本発明の第2の実施形態につ
いて図2を参照して説明する。図2は、MOS型電界効
果トランジスタのゲート電極パターンの形成工程を段階
的に示す断面図である。
【0049】まず、図2(a)に示すように、単結晶シ
リコンからなる半導体基板200上にゲート絶縁膜とし
て薄い酸化膜201(膜厚5nm)を形成し、その上に
CVD法を用いて多結晶シリコン膜202(膜厚100
nm)を堆積する。その後、例えば、P+ イオンを加速
電圧10keV、注入量5×1015cm-2の条件で多結
晶シリコン膜中にドーピングし、さらに、例えば、窒素
雰囲気中で800℃、30分の加熱処理を行う。さらに
その上に、溝形成段階で除去するダミー層として、例え
ばシリコン酸化膜203(膜厚10nm)及び多結晶シ
リコン膜204(膜厚100nm)を形成する。
【0050】この後、多結晶シリコン膜204上にフォ
トレジスト(膜厚1μm)をスピンコート法により塗布
し、このフォトレジストをフォトマスクを通して露光
し、現像して、例えば0.15μm幅のレジストパター
ンを形成する。
【0051】続いて、ドライエッチング装置を用いて、
レジストパターンに沿って多結晶シリコン膜204、シ
リコン酸化膜203及び多結晶シリコン膜202をエッ
チングする。残存したレジストパターンは、エッチング
後にO2 アッシングにより剥離する。
【0052】次に、図2(b)に示すように、エッチン
グ時に削られた薄いシリコン酸化膜201の回復と多結
晶シリコン膜202のコーナー部分205aを丸めるた
め後酸化工程を行い、酸化膜205を形成する。これに
より、ゲート酸化膜201は元の膜厚まで回復し、かつ
多結晶シリコン膜202のコーナー部分205aが丸め
られる。
【0053】その結果、ゲート電極のコーナー部分20
5aにおける電界集中が避けられ、さらにはゲート酸化
膜201の信頼性が向上する。なお、この後酸化工程
は、デバイスの信頼性をさらに向上させることを目的と
しており、必ずしも全てのデバイスに対して行う必要は
無い。
【0054】次に、図2(c)に示すように、イオン注
入法により例えばAs+ イオンを加速電圧30keV、
ドーズ量3×1014cm-2の条件で基板へドーピング
し、さらに例えば窒素雰囲気中で900℃、30秒程度
の加熱処理を行い、N型ドープ層(ソース/ドレイン領
域)206を形成する。
【0055】次に、図2(d)に示すように、CVD法
によりシリコン窒化膜207(膜厚25nm)を堆積
し、これをドライエッチング法によりエッチバックし、
シリコン窒化膜207を多結晶シリコン膜202、シリ
コン酸化膜203及び多結晶シリコン膜204の側壁に
のみ残す。
【0056】続いて、イオン注入法により例えばAs+
イオンを加速電圧45keV、ドーズ量3×1015cm
-2の条件で基板へドーピングし、さらに例えば窒素雰囲
気中で800℃、30分程度の加熱処理を行い、N+
ドープ層(ソース/ドレイン領域)208が形成され
る。
【0057】次に、図2(e)に示すように、ゲート電
極間を埋めむために、CVD法によりシリコン酸化膜2
09(膜厚400nm)を堆積し、これを多結晶シリコ
ン膜204の上面が現れる高さまで例えばCMP法を用
いて平坦化する。
【0058】次に、図2(f)に示すように、ダミー層
である多結晶シリコン膜204及びシリコン酸化膜20
3を除去する。具体的には、まず多結晶シリコン膜20
4をドライエッチングにより除去する。このときの多結
晶シリコン膜/シリコン酸化膜の選択比は100以上あ
るため、制御性良く多結晶シリコン膜204のみを除去
することが可能である。
【0059】続いて、シリコン酸化膜203を希釈した
フッ化水素酸水溶液で除去する。なお、シリコン酸化膜
203の除去方法は、ドライエッング法でも良い。この
結果、側面がシリコン窒化膜207からなり、底面が多
結晶シリコン膜202からなる溝210が形成される。
【0060】次に、図2(g)に示すように、スパッタ
リング法により金属膜として例えばニッケル膜211
(膜厚10nm)を全面に堆積する。次に、図2(h)
に示すように、例えば600℃で60秒間の加熱処理を
行い、ニッケル膜211と多結晶シリコン膜202とを
反応させ、溝底部にニッケルシリサイド層212を形成
する。その後、例えば硫酸と過酸化水素水の混合液によ
って、シリサイド層から選択的に未反応ニッケル膜を除
去する。
【0061】次に、図2(i)に示すように、シリコン
窒化膜213をCVD法により堆積し、これをシリコン
酸化膜表面209の高さまで例えばCMP法を用いて平
坦化する。その結果、ニッケルシリサイド層212がシ
リコン窒化膜207及び213で上面及び側面を囲まれ
た構造になり、ニッケルシリサイド層212と多結晶シ
リコン膜202の積層膜からなるゲート電極パターンが
形成される。
【0062】以後、第1の実施形態と同様に、セルフア
ライン・コンタクト技術によってコンタクトを形成すれ
ば、コンタクトホールパターンの位置合わせが多少ずれ
ても、シリコン窒化膜207及び213がゲート電極と
コンタクトとの間に残るため、ゲート電極とコンタクト
が電気的にショートすることは無い。
【0063】なお、本実施形態では、溝形成段階で除去
するダミー層として多結晶シリコン膜とシリコン酸化膜
との積層膜を用いたが、溝側壁の絶縁膜(本実施形態で
はシリコン窒化膜)とゲート電極間の埋め込み絶縁膜
(本実施形態ではシリコン酸化膜)に対して選択的に除
去可能な材料であればよい。
【0064】例えば、同じシリコン酸化膜の中でも、S
iOF、BSG、BPSG、PSG等のシリコン酸化膜
に不純物が故意にドーピングされた材料や、塗布型であ
る有機SOG等は、通常のシリコン酸化膜に対して選択
性があるため、これらをダミー層として使用することも
可能である。
【0065】また、後酸化工程を要しないデバイスであ
れば、例えば硫酸と過酸化水素水の混合液によって剥離
可能な金属を用いても構わない。例えば、タングステン
膜、モリブデン膜、タンタル膜、アルミニウム膜、チタ
ン膜或いはこれらの合金や積層膜をダミー層として用い
ても良い。
【0066】また、これらダミー層の除去方法として、
上記の例では反応性イオンエッチングを用いたが、ケミ
カルドライエッチング、気相エッチング、ウエットエッ
チング或いはそれらの組み合わせを用いても良い。
【0067】また、本実施形態では、加熱雰囲気中に含
まれる残留酸素による酸化を防ぐための酸化防止膜を用
いなかったが、それを用いても良い。 (第3の実施形態)次に、本発明の第3の実施形態につ
いて図3及び図4を参照して説明する。これらの図面
は、MOS型電界効果トランジスタのゲート電極パター
ンの形成工程を段階的に示した断面図である。なお、こ
れらの図において、左側に示した図はトランジスタのゲ
ート電極の長さ方向の断面を、右側に示した図はトラン
ジスタのゲート電極の幅方向の断面を、それぞれ示した
ものである。
【0068】まず、図3(a)に示すように、素子分離
領域301を有する半導体基板300上に、ゲート絶縁
膜として薄い酸化膜302(膜厚5nm)を形成し、そ
の上にCVD法を用いて多結晶シリコン膜303(膜厚
100nm)を堆積する。その後、例えば、P+ イオン
を加速電圧10keV、注入量5×1015cm-2の条件
で多結晶シリコン膜中にドーピングし、さらに、例え
ば、窒素雰囲気中で800℃、30分の加熱処理を行
う。
【0069】次に、図3(b)に示すように、素子分離
領域301が半導体基板300より突出する高さ分だ
け、多結晶シリコン膜303をCMP法により平坦化す
る。多結晶シリコン膜303の平坦化を行う方法として
は、CMP法の他にエッチバックを用いる方法も可能で
ある。
【0070】次に、図3(c)に示すように、溝形成段
階で除去するダミー層として、例えばシリコン酸化膜3
04(膜厚10nm)及び多結晶シリコン膜305(膜
厚100nm)を多結晶シリコン膜303の上に順次形
成する。
【0071】次に、多結晶シリコン膜305上にレジス
トパターン(不図示)を形成した後、図3(d)に示す
ように、ドライエッチング装置を用いて、レジストパタ
ーンに沿って多結晶シリコン膜305、シリコン酸化膜
304及び多結晶シリコン膜303をエッチングする。
残存したレジストパターンはエッチング後にO2 アッシ
ングにより剥離する。
【0072】この後、エッチング時に削られた薄い酸化
膜302の回復と多結晶シリコン膜のコーナー部分を丸
めるために後酸化を行い、酸化膜306を形成する。な
お、この後酸化工程は、デバイスの信頼性をさらに向上
させることを目的としており、必ずしも全てのデバイス
に対して行う必要は無い。
【0073】続いて、イオン注入法により例えばAs+
イオンを、加速電圧30keV、ドーズ量3×1014
-2の条件で基板へドーピングし、N型ドープ層(ソー
ス/ドレイン領域)307を形成する。
【0074】次に、CVD法によりシリコン窒化膜30
8(膜厚25nm)を全面に堆積し、図3(e)に示す
ように、これをドライエッチング法によりエッチバック
して、多結晶シリコン膜303、シリコン酸化膜304
及び多結晶シリコン膜305の側壁にのみ残す。さら
に、イオン注入法により例えばAs+ イオンを、加速電
圧45keV、ドーズ量3×1015cm-2の条件で基板
へドーピングし、N+ 型ドープ層(ソース・/ドレイン
領域)309を形成する。
【0075】次に、図4(f)に示すように、CVD法
によりシリコン酸化膜310(膜厚400nm)を堆積
し、これを多結晶シリコン膜305の上面が現れる高さ
まで平坦化する。
【0076】次に、図4(g)に示すように、ダミー層
である多結晶シリコン膜305及びシリコン酸化膜30
4を除去する。具体的には、まず多結晶シリコン膜30
5をドライエッチングにより除去する。このときの多結
晶シリコン膜/シリコン酸化膜選択比は100以上ある
ため、制御性良く多結晶シリコン膜305のみを除去す
ることが可能である。続いて、シリコン酸化膜304を
希釈したフッ化水素酸水溶液で除去する。なお、シリコ
ン酸化膜の除去方法は、ドライエッング法でも良い。こ
の結果、側面がシリコン窒化膜308からなり、底面が
多結晶シリコン膜303からなる溝311が形成され
る。
【0077】次に、図4(h)に示すように、スパッタ
リング法により例えば金属膜としてコバルト膜312
(膜厚10nm)を全面に堆積し、さらに、加熱雰囲気
中に含まれる残留酸素によるコバルト膜312の酸化を
防ぐため、コバルト膜312上に酸化防止膜として例え
ば窒化チタン膜313を成膜する。
【0078】次に、図4(i)に示すように、例えば7
00℃で60秒間の加熱処理を行い、溝底部にコバルト
シリサイド層314を形成する。その後、例えば硫酸と
過酸化水素水の混合液によって、シリサイド層314上
から選択的に未反応金属のコバルト312を除去する。
酸化防止膜を用いた場合には、これも未反応金属膜と同
時に除去する。さらに、例えば850℃、30秒間の加
熱処理を行う。
【0079】次に、図4(j)に示すように、シリコン
窒化膜315をCVD法により全面に堆積し、続いてこ
れを酸化膜310表面の高さまで平坦化する。この結
果、コバルトシリサイド層314がシリコン窒化膜30
8及び315で上面及び側面を囲まれた構造になり、コ
バルトシリサイド層314と多結晶シリコン膜303の
積層膜からなるゲート電極パターンが形成される。
【0080】なお、素子分離領域301上には、多結晶
シリコン膜303が僅かながら残されており、コバルト
シリサイド層314と素子分離膜301との間の応力緩
和に寄与している。ゲート電極自体はコバルトシリサイ
ド層314で隣接するゲート電極に電気的につながって
おり、素子分離領域301上の多結晶シリコン膜303
の厚さが薄くても、トランジスタの動作特性に支障は無
い。
【0081】以後、第1の実施形態と同様に、セルフア
ライン・コンタクト技術によってコンタクトを形成す
る。このようなコンタクト形成法をとれば、コンタクト
ホールパターンの位置合わせが多少ずれても、シリコン
窒化膜308及び315がゲート電極とコンタクトとの
間に残るため、ゲート電極とコンタクトが電気的にショ
ートすることは無い。 (第4の実施形態)図5および図6は、本発明の第4の
実施形態に係るMOS型電界効果トランジスタのゲート
電極形成工程を段階的に示す断面図である。
【0082】まず、図5(a)に示すように、素子分離
領域401を有する半導体基板400上に、ゲート絶縁
膜として薄い酸化膜402(膜厚5nm)を形成し、そ
の上にCVD法を用いて多結晶シリコン膜403(膜厚
100nm)を堆積する。その後、例えば、P+ イオン
を加速電圧10keV、注入量5×1015cm-2の条件
で多結晶シリコン膜中にドーピングし、さらに、例え
ば、窒素雰囲気中で800℃、30分の加熱処理を行
う。
【0083】次に、図5(b)に示すように、素子分離
領域401が半導体基板400より突出する高さ分だ
け、多結晶シリコン膜403をCMP法により平坦化す
る。多結晶シリコン膜403の平坦化を行う方法として
は、CMP法の他にエッチバックを用いる方法も可能で
ある。なお、この工程は、後に形成するダミー層の厚さ
を一定にするために行っており、ダミー層の厚さに影響
しない程度に段差が小さい場合には必ずしも行う必要が
ない。
【0084】次に、図5(c)に示すように、溝形成段
階で除去するダミー層として、例えばシリコン酸化膜4
04(膜厚10nm)及び多結晶シリコン膜405(膜
厚100nm)を形成する。
【0085】次に、多結晶シリコン膜405上にレジス
トパターン(不図示)を形成した後、図5(d)に示す
ように、ドライエッチング装置を用いて、レジストパタ
ーンに沿って多結晶シリコン膜403、シリコン酸化膜
404、多結晶シリコン膜405、シリコン酸化膜40
6をエッチングする。残存したレジストパターンはエッ
チング後にO2 アッシングにより剥離する。
【0086】この後、エッチング時に削られた薄い酸化
膜402の回復と多結晶シリコン膜のコーナー部分を丸
めるために後酸化を行い、酸化膜421を形成する。な
お、この後酸化工程は、デバイスの信頼性をさらに向上
させることを目的としており、必ずしも全てのデバイス
で行う必要は無い。
【0087】続いて、イオン注入法により例えばAs+
イオンを、加速電圧30keV、ドーズ量3×1014
-2の条件で基板400へドーピングし、N型ドープ層
(ソース/ドレイン領域)407を形成する。
【0088】次に、CVD法によりシリコン窒化膜40
8(膜厚25nm)を全面に堆積し、図5(e)に示す
ように、これをドライエッチング法によりエッチバック
して、多結晶シリコン膜403、シリコン酸化膜40
4、多結晶シリコン膜405、シリコン酸化膜406の
側壁にのみ残す。さらに、イオン注入法により例えばA
+ イオンを、加速電圧45keV、ドーズ量3×10
15cm-2の条件で基板400へドーピングし、N+ 型ド
ープ層(ソース/ドレイン領域)409を形成する。
【0089】次に、図5(f)に示すように、ドープ層
409上の酸化膜402を除去した後、その上からスパ
ッタリング法により、例えば金属層としてコバルト膜4
10(膜厚10nm)を全面に堆積する。さらに、加熱
雰囲気中に含まれる残留酸素によるコバルト膜410の
酸化を防ぐために、コバルト膜410の上に、例えば酸
化防止膜として窒化チタン膜411を堆積する。
【0090】次に、図5(g)に示すように、例えば7
50℃、30秒間の加熱処理を行い、ドープ層409上
にコバルトシリサイド層412を形成する。その後、例
えば硫酸と過酸化水素水の混合液によって、シリサイド
層412から未反応金属のコバルト410を除去する。
なお、酸化防止膜411が用いた場合には、酸化防止膜
411も未反応金属と同時に除去する。その後、例えば
850℃、30秒間の加熱処理を行い、シリサイド層4
12を低抵抗化する。
【0091】次に、図5(h)に示すように、この上
に、CVD法により、シリコン酸化膜413(膜厚40
0nm)を堆積する。次いで、シリコン酸化膜413
を、多結晶シリコン膜405の上面が現れる高さまで平
坦化する。
【0092】次に、図6(i)に示すように、ダミー層
である多結晶シリコン膜405及びシリコン酸化膜40
4を除去する。例えば、多結晶シリコン膜405をドラ
イエッチングにより除去する。このときの多結晶シリコ
ン膜/シリコン酸化膜選択比は100以上あるため、制
御性良く多結晶シリコン膜305のみを除去することが
可能である。さらにその後、溝底部のシリコン酸化膜4
04を希釈したフッ化水素酸水溶液で除去する。なお、
シリコン酸化膜の除去方法は、ドライエッング法でも良
い。この結果、側面がシリコン窒化膜408からなり、
底面が多結晶シリコン膜403からなる溝414が形成
される。
【0093】次に、図6(j)に示すように、その上か
らスパッタリング法により例えば金属膜としてコバルト
膜415(膜厚10nm)を全面に堆積し、さらに、加
熱雰囲気中に含まれる残留酸素によるコバルト膜415
の酸化を防ぐため、コバルト膜415上に酸化防止膜と
して例えば窒化チタン膜416を成膜する。
【0094】次に、図6(k)に示すように、例えば7
50℃で30秒間の加熱処理を行い、溝底部にコバルト
シリサイド層417を形成する。その後、例えば硫酸と
過酸化水素水の混合液によって、シリサイド層417上
から選択的に未反応金属のコバルト312を除去する。
酸化防止膜を用いた場合には、これも未反応金属膜と同
時に除去する。さらに、例えば850℃、30秒間の加
熱処理を行う。
【0095】次に、図6(l)に示すように、その上に
シリコン窒化膜418をCVD法により全面に堆積し、
続いてこれを酸化膜413の表面の高さまで平坦化す
る。この結果、コバルトシリサイド層417がシリコン
窒化膜408及び418で上面及び側面を囲まれた構造
になり、コバルトシリサイド層417と多結晶シリコン
膜403の積層膜からなるゲート電極パターンが形成さ
れる。
【0096】次に、図6(m)に示すように、シリコン
酸化膜413とシリコン窒化膜408のエッチング高選
択比を利用して、シリコン窒化膜408に沿ったコンタ
クトホールをエッチングにより開口する。さらに、その
上にスパッタリング法により、窒化チタン膜419(1
0nm)を堆積し、CVD法により、タングステン膜4
20を成膜する。その後、シリコン酸化膜413表面ま
で、窒化チタン膜419、タングステン膜420を、例
えばCMP法を用いて平坦化する。
【0097】本発明によれば、コンタクトホール開口の
際、コンタクトホールパターンの位置合わせがゲート電
極側に多少ずれても、シリコン窒化膜がゲート電極−コ
ンタクト間に残るため、電気的にショートすることはな
い。また、ドープ層上のシリサイド層の形成方法とし
て、ドープ層上に選択的にシリコン層を積み上げるエレ
ベート技術を用いた後に、シリサイド層を形成してもよ
い。
【0098】以上各実施形態について説明したが、本発
明はこれらの実施形態に限定されるものではなく、その
主旨を逸脱しない範囲において種々変形して実施可能で
ある。
【0099】
【発明の効果】本発明によれば、金属シリサイドをドラ
イエッチングによって加工しなくてもよいので、従来採
用が困難であった低抵抗金属シリサイドをゲート配線、
ゲート電極等に用いることができるとともに、配線、電
極の周囲が絶縁膜に覆われているので、セルフアライン
・コンタクト技術にも対応可能となる。
【図面の簡単な説明】
【図1】本発明の第1の実施形態に係る半導体装置の製
造工程を段階的に示した図で、トランジスタのゲート長
方向に沿った断面図。
【図2】本発明の第2の実施形態に係る半導体装置の製
造工程を段階的に示した図で、トランジスタのゲート長
方向に沿った断面図。
【図3】本発明の第3の実施形態に係る半導体装置の製
造工程を段階的に示した図で、トランジスタのゲート長
方向に沿った断面図。
【図4】本発明の第3の実施形態に係る半導体装置の製
造工程を段階的に示した図で、トランジスタのゲート幅
方向に沿った断面図。
【図5】本発明の第4の実施形態に係る半導体装置の製
造工程を段階的に示した図で、トランジスタのゲート長
方向に沿った断面図。
【図6】本発明の第4の実施形態に係る半導体装置の製
造工程を段階的に示した図で、図5に続く工程を示した
断面図。
【符号の説明】
100、200、300…半導体基板 101、201、302…ゲート絶縁膜 102、202、303…多結晶シリコン膜 105、207、308…シリコン窒化膜(第1の絶縁
膜) 107、209、310…シリコン酸化膜(第3の絶縁
膜) 108、210、311…溝部 109、211、312…金属膜 111、212、314…シリサイド層 112、213、315…シリコン窒化膜(第2の絶縁
膜) 113…チタン膜及び窒化チタン膜(導電材) 114…タングステン膜(導電材) 203、304…シリコン酸化膜(ダミー層) 204、305…多結晶シリコン膜(ダミー層)

Claims (12)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板の主面に、側面が第1の絶縁
    膜からなり底面がシリコン膜からなる溝部を形成する工
    程と、 前記溝部の底部の前記シリコン膜上に金属膜を形成する
    工程と、 熱処理により前記シリコン膜と前記金属膜とを反応させ
    て前記溝部の底部に選択的に金属シリサイド層を形成す
    る工程と、 前記金属シリサイド層を形成するステップの後に、前記
    金属シリサイドに転換された部分以外の前記金属膜を除
    去する工程と、 前記金属シリサイド層上に第2の絶縁膜を形成すること
    により前記第1及び第2の絶縁膜に覆われた配線と電極
    のいずれかを形成する工程と、を有することを特徴とす
    る半導体装置の製造方法。
  2. 【請求項2】 前記溝部を形成する工程は、ゲート絶縁
    膜が形成された前記半導体基板の前記主面に、側面が前
    記第1の絶縁膜からなり底面が前記シリコン膜からなる
    前記溝部及び前記第1の絶縁膜の周囲に位置する第3の
    絶縁膜を形成する工程を含み、 前記配線と前記電極のいずれかを形成する工程の後に、 前記第3の絶縁膜に前記第1及び第2の絶縁膜に対して
    自己整合的にコンタクト孔を形成する工程と、 前記コンタクト孔内に導電材を充填する工程と、をさら
    に有することを特徴とする請求項1に記載の半導体装置
    の製造方法。
  3. 【請求項3】 ゲート絶縁膜が形成された半導体基板の
    主面に、側面が第1の絶縁膜からなり底面がシリコン膜
    からなる溝部及び前記第1の絶縁膜の周囲に位置する第
    3の絶縁膜を形成する工程と、 前記溝部の底部の前記シリコン膜上に第1の金属膜を形
    成する工程と、 熱処理により前記シリコン膜と前記第1の金属膜とを反
    応させて前記溝部の底部に選択的に第1の金属シリサイ
    ド層を形成する工程と、 前記第1の金属シリサイド層を形成するステップの後
    に、前記第1の金属シリサイド層に転換された部分以外
    の前記第1の金属膜を除去する工程と、 前記第1の金属シリサイド層上に第2の絶縁膜を形成す
    ることにより、前記第1及び前記第2の絶縁膜に覆われ
    た配線と電極のいずれかを形成する工程と、 前記第3の絶縁膜に、前記第1及び前記第2の絶縁膜に
    対して自己整合的に、コンタクト孔を形成する工程と、 前記コンタクト孔内に導電材を充填する工程と、を有す
    ることを特徴とする半導体装置の製造方法。
  4. 【請求項4】 前記溝部および前記第3の絶縁膜を形成
    する工程は、 ゲート絶縁膜が形成された前記半導体基板の前記主面
    に、前記シリコン膜、第4の絶縁層、ダミー膜、および
    第5の絶縁層を順次積層した積層層を形成する工程と、 前記積層層を所望のパターンに加工して、積層ゲート電
    極層を形成する工程と、 前記積層ゲート電極層の両側の前記半導体基板表面に、
    1対のソース・ドレイン領域を形成する工程と、 前記積層ゲート電極層の側面に第6の絶縁膜を形成する
    工程と、 前記ソース・ドレイン領域上の前記ゲート絶縁膜を除去
    して、露出された前記ソース・ドレイン領域上に第2の
    金属膜を形成する工程と、 熱処理により、前記ソース・ドレイン領域と前記第2の
    金属膜とを反応させて、前記ソース・ドレイン領域の表
    面に第2の金属シリサイド層を形成する工程と、 前記第2の金属シリサイド層を形成するステップの後
    に、前記第2の金属シリサイド層に転換された部分以外
    の前記第2の金属膜を除去する工程と、 前記半導体基板全面に前記第3の絶縁膜を形成する工程
    と、 前記第3、第2、および第1の絶縁膜を、前記ダミー膜
    の上面が露出するまで平坦化する工程と、 前記ダミー膜を除去する工程と、を含むことを特徴とす
    る請求項4に記載の半導体装置の製造方法。
  5. 【請求項5】 前記コンタクト孔は、前記ソース・ドレ
    イン領域の一方の上に形成され、前記コンタクト孔を埋
    める前記導電材は、前記第2の金属シリサイド層に接続
    されることを特徴とする請求項4に記載の半導体装置の
    製造方法。
  6. 【請求項6】 前記金属膜若しくは第1の金属膜は、ハ
    ロゲン化物の融点および昇華点のいずれかが300℃以
    上の少なくとも1つの金属を含むことを特徴とする請求
    項1および請求項3のいずれかに記載の半導体装置の製
    造方法。
  7. 【請求項7】 前記溝部を形成する工程は、 ゲート絶縁膜が形成された前記半導体基板の前記主面
    に、前記シリコン膜を形成する工程と、 前記シリコン膜を所望のパターンに加工する工程と、 前記所望のパターンに加工された前記シリコン膜の側面
    上に前記第1の絶縁膜を形成する工程と、 前記半導体基板の前記主面全面に第3の絶縁膜を形成す
    る工程と、 前記第3の絶縁膜を前記シリコン膜の上面が露出するま
    で平坦化する工程と、 前記シリコン膜を所定の厚さ分だけ除去して、前記第1
    の絶縁膜に囲まれた溝部を形成する工程と、を含むこと
    を特徴とする請求項1および請求項3のいずれかに記載
    の半導体装置の製造方法。
  8. 【請求項8】 前記シリコン膜を形成する工程と、前記
    ダミー膜を形成する工程の間に、前記シリコン膜を平坦
    化する工程をさらに有することを特徴とする請求項7に
    記載の半導体装置の製造方法。
  9. 【請求項9】 前記溝部を形成する工程は、 ゲート絶縁膜が形成された前記半導体基板の前記主面に
    前記シリコン膜を形成する工程と、 前記シリコン膜上にダミー膜を形成する工程と、 前記シリコン膜及び前記ダミー膜を所望のパターンに加
    工する工程と、 前記パターンに加工された前記シリコン膜および前記ダ
    ミー膜の側面上に前記第1の絶縁膜を形成する工程と、 前記半導体基板の前記主面に第3の絶縁膜を形成する工
    程と、 前記第3の絶縁膜を前記ダミー膜の上面が露出するまで
    平坦化する工程と、 前記ダミー膜を除去して前記第1の絶縁膜に囲まれた溝
    部を形成する工程と、を含むことを特徴とする請求項1
    および請求項3のいずれかに記載の半導体装置の製造方
    法。
  10. 【請求項10】 前記シリコン膜を形成する工程と、前
    記ダミー膜を形成する工程の間に、前記シリコン膜を平
    坦化する工程をさらに有することを特徴とする請求項9
    に記載の半導体装置の製造方法。
  11. 【請求項11】 半導体基板と、 前記半導体基板上に形成され、シリコン及びこのシリコ
    ン上の金属シリサイドからなる配線および電極のいずれ
    かと、 前記配線及び前記電極のいずれかの側面及び上面を覆う
    絶縁膜と、 前記絶縁膜の上端からに前記半導体基板の表面にかけ
    て、前記絶縁膜の一部に沿って形成されたコンタクト用
    の導電部材とを有し、 前記金属シリサイドは、そのハロゲン化物の融点および
    昇華点のいずれかが300℃以上の少なくとも1つの金
    属を含んで構成されることを特徴とする半導体装置。
  12. 【請求項12】 前記コンタクト用の導電部材は、前記
    半導体基板表面における平面サイズよりも、前記絶縁膜
    上端における平面サイズが大であることを特徴とする請
    求項11に記載の半導体装置。
JP15595298A 1997-06-30 1998-06-04 半導体装置の製造方法 Expired - Fee Related JP3232043B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP15595298A JP3232043B2 (ja) 1997-06-30 1998-06-04 半導体装置の製造方法
US09/103,374 US6235627B1 (en) 1997-06-30 1998-06-24 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP17450197 1997-06-30
JP9-174501 1997-06-30
JP15595298A JP3232043B2 (ja) 1997-06-30 1998-06-04 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH1174219A true JPH1174219A (ja) 1999-03-16
JP3232043B2 JP3232043B2 (ja) 2001-11-26

Family

ID=26483826

Family Applications (1)

Application Number Title Priority Date Filing Date
JP15595298A Expired - Fee Related JP3232043B2 (ja) 1997-06-30 1998-06-04 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US6235627B1 (ja)
JP (1) JP3232043B2 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10341039A (ja) * 1997-04-10 1998-12-22 Toshiba Corp 半導体発光素子およびその製造方法
EP1134798A1 (de) * 2000-03-15 2001-09-19 Infineon Technologies AG Halbleiter-Bauelement, Verfahren zu seiner Herstellung und Verfahren zur Herstellung von elektrischen Verbindungen in bzw. auf einem Halbleiter-Substrat
EP1137059A1 (de) * 2000-03-24 2001-09-26 Infineon Technologies AG Halbleiterbauelement, Verfahren zu seiner Herstellung und Verfahren zur Herstellung von elektrischen Verbindungen zwischen einzelnen Schaltungselementen
JP2004502295A (ja) * 2000-03-23 2004-01-22 マイクロン テクノロジー インコーポレイテッド 自己整合接点形成用エッチングに用いるためのシリサイドゲート積層体の形成方法
US6838366B2 (en) 2001-04-19 2005-01-04 Seiko Epson Corporation MOS transistors and methods for manufacturing the same
JP2007129038A (ja) * 2005-11-02 2007-05-24 Sony Corp 半導体装置およびその製造方法
JP2009503902A (ja) * 2005-08-01 2009-01-29 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体金属合金への完全変換により得られる金属ゲートmosfet及びその製造方法
JP2010045344A (ja) * 2008-07-18 2010-02-25 Nec Electronics Corp 半導体装置の製造方法および半導体装置
JP2010512648A (ja) * 2006-12-08 2010-04-22 マイクロン テクノロジー, インク. 珪化コバルトを含んだトランジスタゲート、そのトランジスタゲートを含んだ半導体装置構造、前駆構造、および製造方法
JP2013516083A (ja) * 2009-12-30 2013-05-09 インテル コーポレイション 自己整合コンタクト

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100441258B1 (ko) * 1998-09-22 2004-07-21 가부시키가이샤 히타치세이사쿠쇼 반도체장치 및 그 제조방법
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
DE10231965B4 (de) * 2002-07-15 2006-06-14 Infineon Technologies Ag Verfahren zur Herstellung einer T-Gate-Struktur sowie eines zugehörigen Feldeffekttransistors
JP2004152920A (ja) * 2002-10-30 2004-05-27 Fujitsu Ltd 半導体装置の製造方法及び半導体製造工程の管理方法
US7425489B1 (en) * 2004-11-19 2008-09-16 Cypress Semiconductor Corporation Self-aligned shallow trench isolation
JP2007019178A (ja) * 2005-07-06 2007-01-25 Toshiba Corp 半導体装置及びその製造方法
US7396716B2 (en) * 2005-08-11 2008-07-08 Texas Instruments Incorporated Method to obtain fully silicided poly gate
EP1801858A1 (en) * 2005-12-23 2007-06-27 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) Method for gate electrode height control
US8530971B2 (en) 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
US20120205727A1 (en) 2011-02-11 2012-08-16 International Business Machines Corporation Semiconductor device including multiple metal semiconductor alloy region and a gate structure covered by a continuous encapsulating layer
US8896030B2 (en) 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US9147748B1 (en) * 2014-05-01 2015-09-29 Globalfoundries Inc. Methods of forming replacement spacer structures on semiconductor devices
CN111739839B (zh) * 2020-06-23 2021-07-02 武汉新芯集成电路制造有限公司 自对准接触孔的制造方法、半导体器件的制造方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3315287B2 (ja) 1995-03-22 2002-08-19 株式会社東芝 半導体装置及びその製造方法

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10341039A (ja) * 1997-04-10 1998-12-22 Toshiba Corp 半導体発光素子およびその製造方法
EP1134798A1 (de) * 2000-03-15 2001-09-19 Infineon Technologies AG Halbleiter-Bauelement, Verfahren zu seiner Herstellung und Verfahren zur Herstellung von elektrischen Verbindungen in bzw. auf einem Halbleiter-Substrat
WO2001069667A1 (de) * 2000-03-15 2001-09-20 Infineon Technologies Ag Verfahren zu herstellung von elektrischen verbindungen in einem halbleiter-bauelement
JP2004502295A (ja) * 2000-03-23 2004-01-22 マイクロン テクノロジー インコーポレイテッド 自己整合接点形成用エッチングに用いるためのシリサイドゲート積層体の形成方法
EP1137059A1 (de) * 2000-03-24 2001-09-26 Infineon Technologies AG Halbleiterbauelement, Verfahren zu seiner Herstellung und Verfahren zur Herstellung von elektrischen Verbindungen zwischen einzelnen Schaltungselementen
WO2001073839A1 (de) * 2000-03-24 2001-10-04 Infineon Technologies Ag Verfahren zur herstellung von elektrischen verbindungen zwischen einzelnen schaltungselementen
US7053454B1 (en) 2000-03-24 2006-05-30 Infineon Technologies Ag Semiconductor component, method for producing the semiconductor component, and method for producing electrical connections between individual circuit elements
US6838366B2 (en) 2001-04-19 2005-01-04 Seiko Epson Corporation MOS transistors and methods for manufacturing the same
JP2009503902A (ja) * 2005-08-01 2009-01-29 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体金属合金への完全変換により得られる金属ゲートmosfet及びその製造方法
JP2007129038A (ja) * 2005-11-02 2007-05-24 Sony Corp 半導体装置およびその製造方法
US9882015B2 (en) 2006-12-08 2018-01-30 Micron Technology, Inc. Transistors, semiconductor devices, and electronic devices including transistor gates with conductive elements including cobalt silicide
JP2010512648A (ja) * 2006-12-08 2010-04-22 マイクロン テクノロジー, インク. 珪化コバルトを含んだトランジスタゲート、そのトランジスタゲートを含んだ半導体装置構造、前駆構造、および製造方法
US8652912B2 (en) 2006-12-08 2014-02-18 Micron Technology, Inc. Methods of fabricating a transistor gate including cobalt silicide
JP2014146816A (ja) * 2006-12-08 2014-08-14 Micron Technology Inc 珪化コバルトを含むトランジスタゲートを有する半導体デバイス、及び、メモリデバイスのトランジスタゲートを製造する方法
JP2010045344A (ja) * 2008-07-18 2010-02-25 Nec Electronics Corp 半導体装置の製造方法および半導体装置
JP2013516083A (ja) * 2009-12-30 2013-05-09 インテル コーポレイション 自己整合コンタクト
US10629483B2 (en) 2009-12-30 2020-04-21 Intel Corporation Self-aligned contacts
US10930557B2 (en) 2009-12-30 2021-02-23 Intel Corporation Self-aligned contacts
US11600524B2 (en) 2009-12-30 2023-03-07 Intel Corporation Self-aligned contacts
US11887891B2 (en) 2009-12-30 2024-01-30 Intel Corporation Self-aligned contacts

Also Published As

Publication number Publication date
JP3232043B2 (ja) 2001-11-26
US6235627B1 (en) 2001-05-22

Similar Documents

Publication Publication Date Title
JP3232043B2 (ja) 半導体装置の製造方法
JP3623834B2 (ja) 半導体記憶装置及びその製造方法
JP3626058B2 (ja) 半導体装置の製造方法
JP3025478B2 (ja) 半導体装置およびその製造方法
JP2001291867A (ja) ダマシンゲート工程で自己整合コンタクトパッド形成方法
JP3022744B2 (ja) 半導体装置及びその製造方法
JP2001044377A (ja) 三重金属配線一つのトランジスター/一つのキャパシタ及びその製造方法
JP3921582B2 (ja) 半導体装置の製造方法
JP2002170953A (ja) 半導体装置及びその製造方法
JPH0645329A (ja) 高集積半導体装置およびその製造方法
US6197670B1 (en) Method for forming self-aligned contact
JP3102405B2 (ja) 半導体装置の製造方法
JPH08264531A (ja) 半導体装置及びその製造方法
JP2002305302A (ja) 半導体装置及びその製造方法
KR0139772B1 (ko) 반도체 집적회로 장치 및 그 제조방법
US6281051B1 (en) Semiconductor device and manufacturing method thereof
JPH11261063A (ja) 半導体装置の製造方法
US6174762B1 (en) Salicide device with borderless contact
US6103608A (en) Method of forming a contact window
JP4411677B2 (ja) 半導体装置の製造方法
JP2000021985A (ja) 半導体装置のコンタクトホ―ル製造方法
US6437391B1 (en) Capacitor for semiconductor devices
JP3642965B2 (ja) 半導体装置の製造方法
US10134869B2 (en) Method of manufacturing semiconductor device
JP4190871B2 (ja) 半導体装置

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070914

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080914

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080914

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090914

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090914

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100914

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110914

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees