JP2009158937A - Soi基板の製造方法 - Google Patents

Soi基板の製造方法 Download PDF

Info

Publication number
JP2009158937A
JP2009158937A JP2008300996A JP2008300996A JP2009158937A JP 2009158937 A JP2009158937 A JP 2009158937A JP 2008300996 A JP2008300996 A JP 2008300996A JP 2008300996 A JP2008300996 A JP 2008300996A JP 2009158937 A JP2009158937 A JP 2009158937A
Authority
JP
Japan
Prior art keywords
single crystal
crystal semiconductor
substrate
layer
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008300996A
Other languages
English (en)
Other versions
JP2009158937A5 (ja
JP5464843B2 (ja
Inventor
Shunpei Yamazaki
舜平 山崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to JP2008300996A priority Critical patent/JP5464843B2/ja
Publication of JP2009158937A publication Critical patent/JP2009158937A/ja
Publication of JP2009158937A5 publication Critical patent/JP2009158937A5/ja
Application granted granted Critical
Publication of JP5464843B2 publication Critical patent/JP5464843B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/928Front and rear surface processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/977Thinning or removal of substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

【課題】単結晶半導体層と支持基板との接着不良を低減し、単結晶半導体層と支持基板との接着強度の高いSOI基板を製造する。
【解決手段】ソースガスを励起してプラズマを生成し、プラズマに含まれるイオン種を単結晶半導体基板の一方の面から添加して、単結晶半導体基板に損傷領域を形成し、単結晶半導体基板の一方の面上に絶縁層を形成し、絶縁層を間に挟んで単結晶半導体基板と向かい合うように支持基板を密着させ、単結晶半導体基板を加熱することにより、損傷領域において、支持基板に接着された単結晶半導体層と単結晶半導体基板とに分離し、支持基板に接着された単結晶半導体層を押圧する。
【選択図】図7

Description

本発明は、絶縁表面上に半導体層を有するSOI基板の作製方法に関する。
近年、ガラス等の絶縁表面を有する基板上に形成された半導体薄膜(厚さ数〜数百nm程度)を用いて薄膜トランジスタ(TFT)を構成する技術が注目されている。薄膜トランジスタは集積回路や電気光学装置のような電子デバイスに広く応用され、特に画像表示装置のスイッチング素子として開発が急がれている。
単結晶半導体のインゴットを薄く切断して作製されるシリコンウエハに代わり、絶縁層の上に薄い単結晶半導体層を設けたシリコン・オン・インシュレータ(Silicon on Insulator:SOI)と呼ばれるSOI基板が開発されており、マイクロプロセッサなどを製造する際の基板として普及しつつある。SOI基板を使った集積回路は、トランジスタのドレインと基板間における寄生容量を低減し、半導体集積回路の性能を向上させ、低消費電力化を図るものとして注目されている。
SOI基板を製造する方法としては、水素イオン注入剥離法が知られている(例えば、特許文献1参照)。例えば表面に酸化シリコン膜が形成されたシリコンウエハに水素等のイオンを注入することによって表面から所定の深さに損傷領域を形成し、別のウエハに損傷領域で分離された薄膜単結晶シリコン層(SOI層)を接着する。さらに熱処理を施すことによりSOI層の接合強度を高めることでSOI基板を形成するという技術である。なお、水素イオン注入剥離法は、スマートカット法と呼ぶこともある。
一方、ガラスなどの絶縁基板にSOI層を形成しようとする試みもなされている。ガラス基板上にSOI層を形成したSOI基板の一例として、水素イオン注入剥離法を用いて、コーティング膜を有するガラス基板上に薄膜単結晶シリコン層(SOI層)を形成したものが知られている(例えば、特許文献1参照)。この場合にも、シリコンウエハに水素イオンを注入することによって表面から所定の深さに損傷領域を形成し、ガラス基板とシリコンウエハを貼り合わせた後に、損傷領域においてシリコンウエハを剥離することで、ガラス基板上に薄膜単結晶シリコン層を形成している。
特開2004−134675号公報
しかし、シリコンウエハとガラス基板との貼り合わせ工程において、シリコンウエハとガラス基板との接着界面に微小な異物(ゴミやガラス基板の表面に残留する研磨剤など)が付着していると、接着界面にボイドが多発して、接着不良が増加する原因となっている。また、ガラス基板の反りや撓みにより、接着界面の一部に気泡が取り込まれると、接着不良が生じる可能性が高くなる。また、シリコンウエハには面取り加工がされており、シリコンウエハの周辺部において接着不良が生じやすいという問題がある。
このような接着不良が多発すると接着強度が低下して、接着界面で薄膜単結晶シリコン層が剥がれてしまうおそれがある。
また、これ以外にも、例えば、半導体装置を製造する場合においてもこのような接着不良があると、高品質の半導体装置を製造するための妨げとなる。
本発明は、これらの点に鑑みてなされたものであり、単結晶半導体層と支持基板との接着不良を低減し、単結晶半導体層と支持基板との接着強度の高いSOI基板を製造することを目的の一とする。また、このようなSOI基板を用いた信頼性の高い半導体装置を製造することを目的の一とする。
本発明の一は、ソースガスを励起してプラズマを生成し、プラズマに含まれるイオン種を単結晶半導体基板の一方の面から添加して、単結晶半導体基板に損傷領域を形成し、単結晶半導体基板の一方の面上に絶縁層を形成し、絶縁層を間に挟んで単結晶半導体基板と向かい合うように支持基板を密着させ、単結晶半導体基板を加熱することにより、損傷領域において、支持基板に接着された単結晶半導体層と単結晶半導体基板とに分離し、支持基板に接着された単結晶半導体層を押圧することを特徴としている。
本発明の一は、ソースガスを励起してプラズマを生成し、プラズマに含まれるイオン種を単結晶半導体基板の一方の面から添加して、単結晶半導体基板に損傷領域を形成し、単結晶半導体基板の一方の面上に絶縁層を形成し、絶縁層を間に挟んで単結晶半導体基板と向かい合うように支持基板を密着させ、単結晶半導体基板を加熱することにより、損傷領域において、支持基板に接着された単結晶半導体層と単結晶半導体基板とを分離し、単結晶半導体層に対して選択的にエッチングを行うことにより、島状の単結晶半導体層を複数形成し、複数の島状の単結晶半導体層を押圧することを特徴とするSOI基板としている。
本発明の一は、ソースガスを励起してプラズマを生成し、プラズマに含まれるイオン種を単結晶半導体基板の一方の面から添加して、単結晶半導体基板に損傷領域を形成し、単結晶半導体基板の一方の面に絶縁層を形成し、絶縁層が形成された単結晶半導体基板に対して選択的にエッチングを行い、絶縁層及び単結晶半導体基板に損傷領域よりも深い開口部を設け、絶縁層を間に挟んで単結晶半導体基板と向き合うように支持基板を密着させ、単結晶半導体基板を加熱することにより、損傷領域において、支持基板に接着された複数の単結晶半導体層と単結晶半導体基板とを分離し、支持基板に接着された複数の単結晶半導体層を押圧することを特徴としている。
なお、絶縁層の膜厚は、500nm乃至1000nmとすることが好ましい。
ここで、本明細書において単結晶とは、結晶面、又は結晶軸が揃っている結晶であり、それを構成している原子又は分子が空間的に規則正しい配列になっているものをいう。もっとも、単結晶は原子が規則正しく配列することによって構成されるものであるが、一部にこの配列の乱れがある格子欠陥を含むもの、意図的又は非意図的に格子歪みを有するものも含まれる。
本発明を適用することにより、単結晶半導体層と支持基板との接着不良が低減され、接着強度の高いSOI基板を製造することができる。また、このようなSOI基板を用いた信頼性の高い半導体装置を製造することができる。
本発明の実施の形態について、図面を用いて詳細に説明する。但し、本発明は以下の説明に限定されず、本発明の趣旨及びその範囲から逸脱することなくその形態及び詳細を様々に変更し得ることは当業者であれば容易に理解される。従って、本発明は以下に示す実施の形態の記載内容に限定して解釈されるものではない。なお、以下に説明する本発明の構成において、同一部分又は同様な機能を有する部分には同一の符号を異なる図面間で共通して用い、その繰り返しの説明は省略する。
(実施の形態1)
本実施の形態では、単結晶半導体基板を分離して、支持基板に接着された単結晶半導体層と単結晶半導体基板とに分離し、支持基板に接着された単結晶半導体層の表面に対して押圧を行うSOI基板の製造方法について、図面を参照して説明する。また、本実施の形態では、ガラス基板等耐熱温度が低い基板に単結晶半導体層を設けることを目的の一とするSOI基板の製造方法についても合わせて説明する。
まず、単結晶半導体基板101を準備する。単結晶半導体基板101は、所望の大きさ、形状に加工されている。単結晶半導体基板101は、例えば、単結晶シリコン基板、ゲルマニウム基板、ガリウムヒ素やインジウムリン等の化合物半導体基板等である。単結晶シリコン基板としては、直径5インチ(125mm)、直径6インチ(150mm)、直径8インチ(200mm)、直径12インチ(300mm)サイズの円形のものが代表的である。また、直径18インチ(450mm)サイズの円形のものも用いることができる。なお、形状は円形に限られず矩形状に加工した単結晶シリコン基板を用いることも可能である。
単結晶半導体基板101の一方の面に窒素を含有する絶縁層102を形成する(図1(A))。窒素を含有する絶縁層102は、後に単結晶半導体基板101の一部を支持基板に貼り合わせて単結晶半導体層を設けた際に、支持基板側からの不純物汚染を防ぐ目的で設けておくことが好ましい。すなわち、窒素を含有する絶縁層102は支持基板に含まれる可動イオンや水分等の不純物が単結晶半導体層に拡散することを防ぐためのバリア層として機能する。従って、不純物汚染が問題とならない場合には、窒素を含有する絶縁層102は省略することも可能である。
窒素を含有する絶縁層102は、化学気相成長(CVD;Chemical Vapor Deposition)法、スパッタリング法等を用いて窒化シリコン層、窒化酸化シリコン層又は酸化窒化シリコン層を単層構造又は2層以上の積層構造で形成することができる。窒素を含有する絶縁層102は、50nm乃至200nmの範囲で設けることが好ましい。本実施の形態では、絶縁層102として、単結晶半導体基板101側から酸化窒化シリコン層102a(膜厚50nm)、窒化酸化シリコン層102b(膜厚50nm)を積層する。なお、本明細書における化学気相成長(CVD;Chemical Vapor Deposition)法は、プラズマCVD法、熱CVD法、光CVD法を範疇に含むものとする。
なお、酸化窒化シリコン層とは、その組成として、窒素よりも酸素の含有量が多いものであって、ラザフォード後方散乱法(RBS:Rutherford Backscattering Spectrometry)及び水素前方散乱法(HFS:Hydrogen Forward Scattering)を用いて測定した場合に、濃度範囲として酸素が50〜70原子%、窒素が0.5〜15原子%、シリコンが25〜35原子%、水素が0.1〜10原子%の範囲で含まれるものをいう。また、窒化酸化シリコン膜とは、その組成として、酸素よりも窒素の含有量が多いものであって、RBS及びHFSを用いて測定した場合に、濃度範囲として酸素が5〜30原子%、窒素が20〜55原子%、シリコンが25〜35原子%、水素が10〜30原子%の範囲で含まれるものをいう。但し、酸化窒化シリコンまたは窒化酸化シリコンを構成する原子の合計を100原子%としたとき、窒素、酸素、Si及び水素の含有比率が上記の範囲内に含まれるものとする。
次いで、絶縁層102を介して、電界で加速されたイオンでなるイオンビーム105を単結晶半導体基板101に照射することで単結晶半導体基板に導入し、単結晶半導体基板101の一方の面から所定の深さの領域に損傷領域103を形成する(図1(B)参照)。イオンビーム105は、ソースガスを励起して、ソースガスのプラズマを生成し、プラズマから電界の作用により、プラズマに含まれるイオンを引き出すことで生成される。
損傷領域103が形成される領域の深さは、イオンビーム105の加速電圧とイオンビーム105の入射角によって調節することができる。イオンの平均侵入深さとほぼ同じ深さの領域に損傷領域103が形成される。イオンを導入する深さで、単結晶半導体基板101から分離される単結晶半導体層の厚さが決定される。この単結晶半導体層の厚さが20nm乃至500nm、好ましくは20nm乃至200nmとなるように、損傷領域103が形成される深さを調節する。
イオンを単結晶半導体基板101に添加するには、イオン注入装置、又はイオンドーピング装置を用いることができる。イオン注入装置では、ソースガスを励起しプラズマを生成し、プラズマ中からイオン種を引き出し、イオン種を質量分離して所定の質量を有するイオン種を被処理物に照射する。イオンドーピング装置は、ソースガスを励起しプラズマを生成し、プラズマ中からイオン種を引き出し、イオン種を質量分離せずに被処理物に照射する。なお、質量分離装置を備えているイオンドーピング装置では、イオン注入装置と同様に、質量分離を伴うイオン注入を行うことができる。本明細書において、イオン注入装置、又はイオンドーピング装置のいずれか一方を特に用いる必要がある場合にのみそれを明記し、特に明記しないときは、いずれの装置を用いてイオンの照射を行っても良いこととする。
イオンドーピング装置を用いる場合のイオンの照射工程は、例えば、以下の条件で行うことができる。
・加速電圧 10kV以上100kV以下(好ましくは、20kV以上80kV以下)
・ドーズ量 1×1016atoms/cm以上4×1016atoms/cm以下
・ビーム電流密度 2μA/cm(好ましくは、5μA/cm以上、より好ましくは10μA/cm以上)
イオンドーピング装置を用いる場合、イオンの照射工程のソースガスには水素ガスを用いることができる。水素ガス(Hガス)を用いることにより、イオン種としてH、H 、H を生成することができる。水素ガスをソースガスとして用いる場合には、H を多く照射することが好ましい。H イオンを多く照射することで、H、H を照射するよりもイオンの照射効率が向上する。つまり、イオンの照射にかかる時間を短縮することができる。また、損傷領域103においての分離がより容易になる。また、H を用いることにで、イオンの平均侵入深さを浅くすることができるため、損傷領域103をより浅い領域に形成することができる。
イオン注入装置を用いる場合には、質量分離により、H イオンが照射されるようにすることが好ましい。もちろんH を照射しても良い。但し、イオン注入装置を用いる場合には、イオン種を選択して照射するため、イオンドーピング装置を用いる場合と比較して、イオンの照射効率が低下する場合がある。
イオンドーピング装置を用いる場合は、イオンビーム105に、H、H 、H の総量に対してH イオンが70%以上含まれるようにすることが好ましい。より好ましくは、H イオンが含まれる割合を80%以上とする。このようにH の割合を高めておくと、損傷領域103に1×1020atoms/cm以上の水素を含ませることができるので、半導体層の分離が容易になる。
イオン照射工程のソースガスには水素ガスの他に、ヘリウムやアルゴンなどの希ガス、フッ素ガス、塩素ガスに代表されるハロゲンガス、フッ素化合物ガス(例えば、BF)などのハロゲン化合物ガスから選ばれた一種又は複数種のガスを用いることができる。ソースガスにヘリウムを用いる場合は、質量分離を行わないことで、Heイオンの割合が高いイオンビーム105を作り出すことができる。このようなイオンビーム105を用いることで、損傷領域103を効率良く形成することができる。
以下において、本発明の特徴の一であるイオンの照射方法について考察する。
本発明では、水素(H)に由来するイオン(以下「水素イオン種」と呼ぶ)を単結晶半導体基板に対して照射している。より具体的には、水素ガス又は水素を組成に含むガスを原材料として用い、水素プラズマを発生させ、該水素プラズマ中の水素イオン種を単結晶半導体基板に対して照射している。
(水素プラズマ中のイオン)
上記のような水素プラズマ中には、H、H 、H といった水素イオン種が存在する。ここで、各水素イオン種の反応過程(生成過程、消滅過程)について、以下に反応式を列挙する。
e+H→e+H+e ・・・・・ (1)
e+H→e+H +e ・・・・・ (2)
e+H→e+(H→e+H+H ・・・・・ (3)
e+H →e+(H →e+H+H ・・・・・ (4)
+H→H +H ・・・・・ (5)
+H→H+H+H ・・・・・ (6)
e+H →e+H+H+H ・・・・・ (7)
e+H →H+H ・・・・・ (8)
e+H →H+H+H ・・・・・ (9)
図27に、上記の反応の一部を模式的に表したエネルギーダイアグラムを示す。なお、図27に示すエネルギーダイアグラムは模式図に過ぎず、反応に係るエネルギーの関係を厳密に規定するものではない点に留意されたい。なお、以下の反応式は、実験的に観測されていないため、図27では、バツ印で示している。
+H→H ・・・・・ (10)
+H→H ・・・・・ (11)
(H の生成過程)
上記のように、H は、主として反応式(5)により表される反応過程により生成される。一方で、反応式(5)と競合する反応として、反応式(6)により表される反応過程が存在する。H が増加するためには、少なくとも、反応式(5)の反応が、反応式(6)の反応より多く起こる必要がある(なお、H が減少する反応としては他にも(7)、(8)、(9)が存在するため、(5)の反応が(6)の反応より多いからといって、必ずしもH が増加するとは限らない。)。反対に、反応式(5)の反応が、反応式(6)の反応より少ない場合には、プラズマ中におけるH の割合は減少する。
上記反応式における右辺(最右辺)の生成物の増加量は、反応式の左辺(最左辺)で示す原料の密度や、その反応に係る速度係数などに依存している。ここで、H の運動エネルギーが約11eVより小さい場合には(5)の反応が主要となり(すなわち、反応式(5)に係る速度係数が、反応式(6)に係る速度係数と比較して十分に大きくなり)、H の運動エネルギーが約11eVより大きい場合には(6)の反応が主要となることが実験的に確認されている。
荷電粒子は電場から力を受けて運動エネルギーを得る。該運動エネルギーは、電場によるポテンシャルエネルギーの減少量に対応している。例えば、ある荷電粒子が他の粒子と衝突するまでの間に得る運動エネルギーは、その間に通過した電位差分のポテンシャルエネルギーに等しい。つまり、電場中において、他の粒子と衝突することなく長い距離を移動できる状況では、そうではない状況と比較して、荷電粒子の運動エネルギー(の平均)は大きくなる傾向にある。このような、荷電粒子に係る運動エネルギーの増大傾向は、粒子の平均自由行程が大きい状況、すなわち、圧力が低い状況で生じ得る。
また、平均自由行程が小さくとも、その間に大きな運動エネルギーを得ることができる状況であれば、荷電粒子の運動エネルギーは大きくなる。すなわち、平均自由行程が小さくとも、電位差が大きい状況であれば、荷電粒子の持つ運動エネルギーは大きくなると言える。
これをH に適用してみる。プラズマの生成に係るチャンバー内のように電場の存在を前提とすれば、該チャンバー内の圧力が低い状況ではH の運動エネルギーは大きくなり、該チャンバー内の圧力が高い状況ではH の運動エネルギーは小さくなる。つまり、チャンバー内の圧力が低い状況では(6)の反応が主要となるため、H は減少する傾向となり、チャンバー内の圧力が高い状況では(5)の反応が主要となるため、H は増加する傾向となる。また、プラズマ生成領域における電場(又は電界)が強い状況、すなわち、ある二点間の電位差が大きい状況ではH の運動エネルギーは大きくなり、反対の状況では、H の運動エネルギーは小さくなる。つまり、電場が強い状況では(6)の反応が主要となるためH は減少する傾向となり、電場が弱い状況では(5)の反応が主要となるため、H は増加する傾向となる。
(イオン源による差異)
ここで、イオン種の割合(特にH の割合)が異なる例を示す。図2は、100%水素ガス(イオン源の圧力:4.7×10−2Pa)から生成されるイオンの質量分析結果を示すグラフである。なお、上記質量分析は、イオン源から引き出されたイオンを測定することにより行った。横軸はイオンの質量である。スペクトル中、質量1、2、3のピークは、それぞれ、H、H 、H に対応する。縦軸は、スペクトルの強度であり、イオンの数に対応する。図2では、質量が異なるイオンの数量を、質量3のイオンを100とした場合の相対比で表している。図2から、上記イオン源により生成されるイオンの割合は、H:H :H =1:1:8程度となることが分かる。なお、このような割合のイオンは、プラズマを生成するプラズマソース部(イオン源)と、当該プラズマからイオンビームを引き出すための引出電極などから構成されるイオンドーピング装置によっても得ることが出来る。
図3は、図2とは異なるイオン源を用いた場合であって、イオン源の圧力がおおよそ3×10−3Paの時に、PHから生成したイオンの質量分析結果を示すグラフである。上記質量分析結果は、水素イオン種に着目したものである。また、質量分析は、イオン源から引き出されたイオンを測定することにより行った。図3は、図2と同様、横軸はイオンの質量を示し、質量1、2、3のピークは、それぞれH、H 、H に対応する。縦軸はイオンの数量に対応するスペクトルの強度である。図3から、プラズマ中のイオンの割合はH:H :H =37:56:7程度であることが分かる。なお、図3はソースガスがPHの場合のデータであるが、ソースガスとして100%水素ガスを用いたときも、水素イオン種の割合は同程度になる。
図3のデータを得たイオン源の場合には、H、H 及びH のうち、H が7%程度しか生成されていない。他方、図2のデータを得たイオン源の場合には、H の割合を50%以上(上記の条件では80%程度)とすることが可能である。これは、上記考察において明らかになったチャンバー内の圧力及び電場に起因するものと考えられる。
(H の照射メカニズム)
図2のような複数のイオン種を含むプラズマを生成し、生成されたイオン種を質量分離しないで単結晶半導体基板に照射する場合、単結晶半導体基板の表面には、H、H 、H の各イオンが照射される。イオンの照射からイオン導入領域形成にかけてのメカニズムを再現するために、以下の5種類のモデルを考える。
1.照射されるイオン種がHで、照射後もH(H)である場合。
2.照射されるイオン種がH で、照射後もH (H)のままである場合。
3.照射されるイオン種がH で、照射後に2個のH(H)に分裂する場合。
4.照射されるイオン種がH で、照射後もH (H)のままである場合。
5.照射されるイオン種がH で、照射後に3個のH(H)に分裂する場合。
(シミュレーション結果と実測値との比較)
上記のモデルを基にして、水素イオン種をSi基板に照射する場合のシミュレーションを行った。シミュレーション用のソフトウェアとしては、SRIM(the Stopping and Range of Ions in Matter:モンテカルロ法によるイオン導入過程のシミュレーションソフトウェア、TRIM(the Transport of Ions in Matter)の改良版)を用いている。なお、計算の関係上、モデル2ではH を質量2倍のHに置き換えて計算した。また、モデル4ではH を質量3倍のHに置き換えて計算した。さらに、モデル3ではH を運動エネルギー1/2のHに置き換え、モデル5ではH を運動エネルギー1/3のHに置き換えて計算を行った。
なお、SRIMは非晶質構造を対象とするソフトウェアではあるが、高エネルギー、高ドーズの条件で水素イオン種を照射する場合には、SRIMを適用可能である。水素イオン種とSi原子の衝突により、Si基板の結晶構造が非単結晶構造に変化するためである。
図28に、モデル1乃至モデル5を用いて水素イオン種をSi基板に照射した場合(H換算で10万個照射時)のSi基板中の水素原子数の計算結果を示す。また、図2の水素イオン種を照射したSi基板中の水素濃度(SIMS(Secondary Ion Mass Spectroscopy)のデータ)をあわせて示す。モデル1乃至モデル5を用いて行った計算の結果については、縦軸を水素原子の数で表しており(右軸)、SIMSデータについては、縦軸を水素原子の密度で表している(左軸)。横軸はSi基板表面からの深さである。実測値であるSIMSデータと、計算結果とを比較した場合、モデル2及びモデル4は明らかにSIMSデータのピークから外れており、また、SIMSデータ中にはモデル3に対応するピークも見られない。このことから、モデル2乃至モデル4の寄与は、相対的に小さいことが分かる。イオンの運動エネルギーが数keV程度であるのに対して、H−Hの結合エネルギーは数eV程度に過ぎないことを考えれば、モデル2及びモデル4の寄与が小さいのは、Si原子との衝突により、大部分のH やH が、HやHに分離しているためと思われる。
以上より、モデル2乃至モデル4については、以下では考慮しない。図29乃至図31に、モデル1及びモデル5を用いて水素イオン種を照射した場合(H換算で10万個照射時)の計算結果を示す。また、図2の水素イオン種を照射したSi基板中の水素濃度(SIMSデータ)及び、上記シミュレーション結果をSIMSデータにフィッティングさせたもの(以下フィッティング関数と呼ぶ)を合わせて示す。ここで、図29は加速電圧を80kVとした場合を示し、図30は加速電圧を60kVとした場合を示し、図31は加速電圧を40kVとした場合を示している。なお、モデル1及びモデル5を用いて行った計算の結果については、縦軸を水素原子の数で表しており(右軸)、SIMSデータ及びフィッティング関数については、縦軸を水素原子の密度で表している(左軸)。横軸はSi基板表面からの深さである。
フィッティング関数はモデル1及びモデル5を考慮して以下の計算式により求めることとした。なお、計算式中、X、Yはフィッティングに係るパラメータであり、Vは体積である。
[フィッティング関数]
=X/V×[モデル1のデータ]+Y/V×[モデル5のデータ]
現実に照射されるイオン種の割合(H:H :H =1:1:8程度)を考えればH の寄与(すなわち、モデル3)についても考慮すべきであるが、以下に示す理由により、ここでは除外して考えた。
・モデル3に示される照射過程により導入される水素は、モデル5の照射過程と比較して僅かであるため、除外して考えても大きな影響はない(SIMSデータにおいても、ピークが現れていない)。
・モデル5とピーク位置の近いモデル3は、モデル5において生じるチャネリング(結晶の格子構造に起因する原子の移動)により隠れてしまう可能性が高い。すなわち、モデル3のフィッティングパラメータを見積もるのは困難である。これは、本シミュレーションが非晶質Siを前提としており、結晶性に起因する影響を考慮していないことによるものである。
図32に、上記のフィッティングパラメータをまとめる。いずれの加速電圧においても、導入されるHの数の比は、[モデル1]:[モデル5]=1:42〜1:45程度(モデル1におけるHの数を1とした場合、モデル5におけるHの数は42以上45以下程度)であり、照射されるイオン種の数の比は、[H(モデル1)]:[H (モデル5)]=1:14〜1:15程度(モデル1におけるHの数を1とした場合、モデル5におけるH の数は14以上15以下程度)である。モデル3を考慮していないことや非晶質Siと仮定して計算していることなどを考えれば、実際の照射に係るイオン種の比(H:H :H =1:1:8程度)に近い値が得られていると言える。
(H を用いる効果)
図2に示すようなH の割合を高めた水素イオン種を基板に照射することで、H に起因する複数のメリットを享受することができる。例えば、H はHやHなどに分離して基板内に導入されるため、主にHやH を照射する場合と比較して、イオンの導入効率を向上させることができる。これにより、SOI基板の生産性向上を図ることができる。また、H が分離した後のHやHの運動エネルギーは小さくなる傾向にあるから、薄い半導体層の製造に向いている。
なお、本明細書では、H を効率的に照射するために、図2に示すような水素イオン種を照射可能なイオンドーピング装置を用いる方法について説明している。イオンドーピング装置は廉価で、大面積処理に優れているため、このようなイオンドーピング装置を用いてH を照射することで、半導体特性の向上、大面積化、低コスト化、生産性向上などの顕著な効果を得ることができる。一方で、H の照射を第一に考えるのであれば、イオンドーピング装置を用いることに限定して解釈する必要はない。
次いで、単結晶半導体基板101上の絶縁層102上に絶縁層104(接合層とも記す)を形成する(図4(A)参照)。絶縁層104は、単結晶半導体基板101が支持基板と接着を形成する面に設ける。単層構造としても2層以上の積層構造としてもよいが、支持基板と接着する面(以下、「接着面」とも記す)が平滑面を有し親水性表面となる絶縁層を用いることが好ましい。絶縁層104、絶縁層102を有する単結晶半導体基板101を半導体基板120とする。
平滑面を有し親水性表面を形成できる絶縁層としては、水素を含有する酸化シリコン、水素を含有する窒化シリコン、酸素と水素を含有する窒化シリコン、酸化窒化シリコン、窒化酸化シリコン等を適用することができる。
水素を含有する酸化シリコンとしては、例えば有機シランガスをシリコンソースガスとし、化学気相成長法(CVD、Chemical Vapor Deposition)により作製される酸化シリコンは好ましい。有機シランガスを用いて形成された絶縁層104、例えば酸化シリコン膜を用いることによって、支持基板と単結晶半導体層との接着を強固にすることができるためである。有機シランガスとしては、テトラエトキシシラン(TEOS:化学式Si(OC)、テトラメチルシラン(TMS:化学式Si(CH)、テトラメチルシクロテトラシロキサン(TMCTS)、オクタメチルシクロテトラシロキサン(OMCTS)、ヘキサメチルジシラザン(HMDS)、トリエトキシシラン(SiH(OC)、トリスジメチルアミノシラン(SiH(N(CH)等のシリコン含有化合物を用いることができる。
なお、接合層として機能する酸化シリコン層は、モノシラン、ジシラン、又はトリシランを原料ガスに用いて化学気相成長法により形成することもできる。また接合層として機能する酸化シリコン層は熱酸化膜でもよく、塩素を含んでいると好適である。
水素を含有する窒化シリコンは、シランガスとアンモニアガスを用いてプラズマCVD法により形成することができる。前記ガスに水素が加えられていても良い。酸素と水素を含有する窒化シリコンは、シランガスとアンモニアガスと亜酸化窒素ガスを用いてプラズマCVD法で作製することができる。いずれにしても、プラズマCVD法、減圧CVD法、常圧CVD法等の化学気相成長法により、シランガス等を原料ガスとして用いて作製される酸化シリコン、酸化窒化シリコン、窒化酸化シリコンであって水素が含まれるものであれば適用することができる。化学気相成長法による成膜では、単結晶半導体基板101に形成した損傷領域103から脱ガスが起こらない程度の温度を適用する。例えば、成膜温度を350℃以下とすることが好ましい。なお、単結晶半導体基板101から単結晶半導体層を分離する加熱処理は、化学気相成長法による成膜温度よりも高い加熱処理温度が適用される。いずれにしても絶縁層104として、平滑面を有し、水酸基が付いた表面を有するものであれば良い。
絶縁層104の膜厚は10nm以上1000nm以下で設けることができる。また、接着を良好に行うために、500nm以上1000nm以下で設けることが好ましい。
次いで、絶縁層104を間に挟んで単結晶半導体基板101と向かい合うように支持基板107を密着させる(図4(B)参照)。単結晶半導体基板101上に形成された絶縁層104の表面と支持基板107の表面とを密着させることにより、単結晶半導体基板101と支持基板107とが接着する。
支持基板107は、絶縁表面を有する基板を用いる。例えば、アルミノシリケートガラス、アルミノホウケイ酸ガラスのような電子工業用に使われる各種ガラス基板を用いる。ガラス基板のサイズは接合する単結晶半導体基板のサイズを考慮して決めれば良い。複数の単結晶半導体基板を一枚のガラス基板に接合させることもできる。その場合には、液晶パネルなどの生産に使用される大面積のガラス基板を適用することもできる。例えば第6世代(1500mm×1850mm)、第7世代(1870mm×2200mm)、第8世代(2200mm×2400mm)といわれる大面積のマザーガラス基板を用いる。大面積のマザーガラス基板を支持基板107として用いてSOI基板を製造することで、SOI基板の大面積化が実現できる。その結果、1枚の基板から製造できる表示パネルの数(面取り数)を増大させることが可能となり、生産性を向上させることができる。
アルミノシリケートガラス、アルミノホウケイ酸ガラスのような電子工業用に使われる各種ガラス基板の表面は、研磨面を有しているものを用いると平坦性が頗る良好であり好ましい。ガラス基板の研磨面と単結晶半導体基板、若しくは単結晶半導体基板に形成された絶縁層とを接着させることにより、接着不良を低減することができる。ガラス基板の研磨は、例えば酸化セリウム等で行えば良い。研磨処理をすることで、ガラス基板の主表面における端部領域を含む略全面に単結晶半導体基板を貼り合わせることができる。
また、低温で接合を形成するために、支持基板107としてSiO及びAlを主成分として含むガラス基板を用いることが好ましい。すなわち、酸化シリコンのみでなく酸化アルミニウムを主成分とするガラス基板を用いることで、700℃以下の低温においても接合を形成することができる。例えば、支持基板107に含まれる成分の合計を100重量%とするときに、SiOを55〜74重量%、Alを7〜18重量%含み、他に0〜15重量%の範囲でMgO、CaO、SrO、BO、BaOが含まれる支持基板107を用いる。支持基板107に含まれるアルミニウム原子と、絶縁層104に含まれる酸化シリコン又は窒化シリコンのシリコン原子とが、酸素原子を介して、例えばAl−O−Si結合を生成することにより接合が形成される。この場合、酸化シリコンのみ(Si−O−Si結合)によって接合を形成するよりも、Al−O−Si結合を利用する方が、低温で接合を形成することができる。
酸化シリコンと酸化アルミニウムを作用させて接合を形成する場合には、支持基板107の表面に平坦化膜を形成し、さらに酸化アルミニウム膜をスパッタリング法で形成しておいても良い。平坦化膜は、珪酸エチル(TEOS:化学式Si(OC)若しくはシラン等と、酸素若しくは亜酸化窒素等とを反応させて形成した段差被覆性のよい酸化シリコン膜、或いはシロキサン系材料を出発材料として塗布焼成された酸化シリコン膜を用いる。支持基板107の表面に平坦化膜を形成することで、表面の微細な凹凸の影響を無くし、微小な異物が付着していても埋め込んでしまうので、接合処理をした後の単結晶半導体層の欠損(ピンホール)の発生を防止することができる。平坦化膜の表面に形成される酸化アルミニウムは接合の形成に適しているばかりでなく、アルカリ金属等の不純物拡散を防ぐので、ブロッキング層としても作用する。
また、支持基板107と絶縁層104との接着を良好に行うために、接着面を活性化しておいてもよい。例えば、接着する面の一方又は双方に原子ビーム若しくはイオンビームを照射する。原子ビーム若しくはイオンビームを利用する場合には、アルゴン等の不活性ガス中性原子ビーム若しくは不活性ガスイオンビームを用いることができる。その他に、プラズマ照射若しくはラジカル処理を行うことで接着面を活性化することもできる。このような表面処理により、400℃以下の温度であっても異種材料間の接着をすることが容易となる。
絶縁層104を介して支持基板107と単結晶半導体基板101を貼り合わせた後は、加熱処理と加圧処理の一方又は両方を行うことが好ましい。加熱処理や加圧処理を行うことにより支持基板107と単結晶半導体基板101の接着強度を向上させることが可能となる。加熱処理の温度は、支持基板107の耐熱温度以下で行う。加圧処理は、支持基板107と単結晶半導体基板101を圧力容器に入れた状態で所定の圧力に加圧する。または、支持基板107と単結晶半導体基板101をセラミックス等でできた押圧板で上下から挟み、機械的な作用で10kNから20kNの力で押圧する。この場合、加圧と同時に、支持基板107と単結晶半導体基板101を加熱することで接着強度を向上させることができる。
図5は、半導体基板120と支持基板107とを密着し、加熱押圧して接着若しくは仮接着する装置の一例を示している。図5(A)において、支持基板107は、基板ステージ301に載せられている。また、半導体基板120は、絶縁層104が支持基板107側を向くように設けられている。この上に移載器303により半導体基板120が運ばれてきて、所定の位置に接着できるように位置合わせを行う。そして図5(B)で示すように、移載器303が基板ステージ301に接近して、半導体基板120を支持基板107に載せる。半導体基板120は、移載器303の押ピン(図示せず)により圧着され、接着位置が固定される。その後、図5(C)で示すようにヒーター309の付いた加圧器308が移動して、半導体基板120を基板ステージ301に押し付け加圧する。この状態で、加圧器308のヒーター309と基板ステージ301のヒーター302により半導体基板120と支持基板107を加熱して接着強度を向上させる。
次いで、単結晶半導体基板101に加熱処理を行うことにより、損傷領域103において、単結晶半導体基板101を分離する。(図6(A)参照)。加熱処理の温度は絶縁層104の成膜温度以上、支持基板107の耐熱温度以下で行うことが好ましい。例えば400℃乃至700℃の加熱処理を行うことにより、損傷領域103に形成された微小な空洞の体積変化が起こり、当該損傷領域103において分離する。絶縁層104は支持基板107と接着しているので、支持基板107上には単結晶半導体基板101から分離された単結晶半導体層108が接着される。支持基板107には、単結晶半導体基板と同じ結晶構造と結晶方位を有する単結晶半導体層108が残存することとなる。
400℃乃至700℃の温度域での熱処理は、前述の接着強度を向上させるための熱処理と同じ装置で連続して行っても良いし、別の装置で行っても良い。例えば炉で200℃2時間熱処理した後に、600℃近傍まで昇温し2時間保持し、400℃から室温までの温度域に降温した後炉より取り出す。また、熱処理は室温から昇温してもよい。また、炉で200℃2時間熱処理した後に、瞬間熱アニール(RTA)装置によって600℃乃至700℃の温度域で、1分間乃至30分間(例えば600℃、7分間、650℃、7分間)熱処理を行ってもよい。
400℃乃至700℃の温度域での熱処理により、絶縁層と支持基板のとの接着は水素結合から共有結合に移行し、損傷領域に添加された水素原子が析出し圧力が上昇し、単結晶半導体基板より単結晶半導体層を分離することができる。熱処理を行った後は支持基板と半導体基板120は、一方が他方に載っている状態であり、大きな力を加えずに支持基板と単結晶半導体基板とを離すことができる。例えば、上方に載っている基板を真空チャックで持ち上げることにより簡単に離すことができる。この際、下側の基板を真空チャックやメカニカルチャックで固定しておくと水平方向のずれがなく支持基板及び単結晶半導体基板の両基板を離すことができる。
図4(B)の単結晶半導体基板101と支持基板107との貼り合わせ工程において、単結晶半導体基板101に形成された絶縁層104と支持基板107との接着界面に微小な異物(ゴミやガラス基板の表面に残留する研磨剤など)が付着することにより、接着不良が増加する原因となっている。また、支持基板の反りや撓みにより、接着界面の一部に気泡が取り込まれると、接着不良が生じる可能性が高くなる。また、単結晶半導体基板101には面取り加工がされており、単結晶半導体基板の周辺部において接着不良が生じやすいという問題がある。
そこで、単結晶半導体層108と支持基板107との接着をより強固なものとするために、支持基板107に接着された単結晶半導体層108に対して押圧を行う(図6(B)参照)。
支持基板107に接着された単結晶半導体層108の押圧には、真空プレス、油圧プレス、空気圧プレスなどの方法を用いることができる。本実施の形態では、真空プレス法を用いて支持基板107に接着された単結晶半導体層108を押圧する方法を、図7を用いて説明する。
図7(A)(B)は、ダイヤフラム701によって加圧室704と試料室705とに分けられたチャンバー700であり、試料室705は試料を加熱することのできるヒーター703を有している。また、チャンバー700において、試料室705の圧力を制御するために排気バルブ702c、給気バルブ702dが設けられ、加圧室704の圧力を制御するために排気バルブ702b、給気バルブ702aが設けられている。また、ダイヤフラム701の試料室705側には、クッション706が設けられている。クッション706は、弾性を有する材料で形成されており、例えば、天然ゴムや合成ゴムなどの網目状高分子材料、樹脂やプラスチックなどの鎖状の合成高分子材料で形成されている。試料室705のヒーター703上に、絶縁層104、絶縁層102、単結晶半導体層108を有する支持基板107が設けられている。
図7(A)に示すように給気バルブ702a、702dを閉じ、排気バルブ702b、702cにより排気を行い、加圧室704及び試料室705を同程度に減圧する。次に図7(B)に示すように排気バルブ702b、702cも閉じ、給気バルブ702dも閉じた状態で、給気バルブ702aを開き、加圧室704を大気開放し減圧状態より大気圧とする。試料室705は、減圧状態であるため、ダイヤフラム701が図7(B)のように試料室705の方へ凹み、試料である絶縁層104、絶縁層102、単結晶半導体層108を有する支持基板107を押圧することができる。押圧時にヒーター703で加熱を行えば、加圧状態で熱処理を行うことができる。押圧時の熱処理温度は、室温以上300℃以下、好ましくは100℃以上200℃以下で行う。その後給気バルブ702dを開放し、試料室705を減圧状態より大気圧とし、試料である絶縁層104、絶縁層102、単結晶半導体層108を有する支持基板107を取り出すことができる。
図8(A)に、支持基板107に接着された単結晶半導体層108を押圧する前の様子を示す。図8(B)には、単結晶半導体基板101に形成された絶縁層104と支持基板との接着界面117の拡大図を示す。単結晶半導体基板101に形成された絶縁層104と支持基板107との接着界面に微小な異物124が付着することにより、接着不良が生じている。また、支持基板の反りや撓みにより、接着界面の一部に気泡が取り込まれると、接着不良が増加する(図示せず)。このような接着不良が増加すると接着強度が低下してしまい、単結晶半導体層108の膜剥がれが生じる可能性が高くなる。このような場合でも、支持基板107に接着された単結晶半導体層108に押圧を行うことにより、図8(C)に示すように、絶縁層104に微小な異物124が埋め込まれるため、接着面積が増加し接着強度を高めることができる。また、絶縁層104の膜厚、500nm以上1000nm以下で設けられている場合は、微小な異物124の粒径が200nm以上300nm以下であっても、問題無く微小な異物124を埋め込むことができ、接着面積を増加させることができ、接着強度を高めることができる。
また、図9(B)に示すように、単結晶半導体基板101の端部116は、面取り加工がされており丸みを帯びているため、支持基板107と十分に接着されていない領域が生じている。このような場合でも、支持基板107に接着された単結晶半導体層108に押圧を行うことにより、図9(C)に示すように、単結晶半導体層108の端部においても接着を十分に行うことができ、接着面積を増加させることができる。なお、図9(A)は支持基板107に接着された単結晶半導体層108を押圧する前の様子を示している。
以上のように、支持基板107に接着された単結晶半導体層108に押圧を行うことにより、単結晶半導体層108と支持基板107との接着不良が低減され、接着強度を向上させることができる。
次に、支持基板107に接着された単結晶半導体層108の表面に残存する結晶欠陥をドライエッチング又はウェットエッチングにより除去する。図8(A)及び図9(A)に示す単結晶半導体層108の表面には、損傷領域103の形成のためのイオン添加工程や、分離工程による欠陥が存在し、単結晶半導体層表面の平坦性は損なわれている。このような、平坦性の損なわれた単結晶半導体層108の表面に、薄く、且つ、高い絶縁耐圧のゲート絶縁層を形成することは困難である。また、単結晶半導体層108に欠陥が存在する場合には、ゲート絶縁層との界面における局在準位密度が高くなるなど、トランジスタの性能及び信頼性に悪影響を与えるため、単結晶半導体層108の欠陥を除去する処理を行う。なお、図8(A)、図9(A)において、単結晶半導体層108の表面の凹凸形状は、表面が粗く、平坦性が悪いことを特徴的に示しているだけであり、実際の形状はこれに限定されない。
そこで、単結晶半導体層108の表面に存在する欠陥を除去するために、単結晶半導体層108の表面にドライエッチング又はウェットエッチングを行う(図10(A)参照)。本実施の形態では、例えば、反応性イオンエッチング(RIE:Reactive Ion Etching)法、ICP(Inductively Coupled Plasma)エッチング法、ECR(Electron Cyclotron Resonance)エッチング法、平行平板型(容量結合型)エッチング法、マグネトロンプラズマエッチング法、2周波プラズマエッチング法またはヘリコン波プラズマエッチング法等のドライエッチング法を用いる。
また、単結晶半導体層108の表面には、自然酸化膜が形成されている。自然酸化膜が形成された単結晶半導体層108にドライエッチングを行うと、ドライエッチングがされた単結晶半導体層108の膜厚にばらつきが生じる。そこで、希フッ酸で単結晶半導体層108の表面を処理し、自然酸化膜の除去と表面に付着するゴミ等の汚染物も除去して単結晶半導体層108の表面を清浄化する。そして、清浄化された単結晶半導体層108上に、酸化シリコン層を形成する(図示せず)。酸化シリコン層としてはケミカルオキサイドを適用するができる。ケミカルオキサイドは、例えば、オゾン水含有水で単結晶半導体層表面を処理することで形成することができる。
酸化シリコン層が形成された単結晶半導体層108に対して、ドライエッチングを行う。ドライエッチングを行い、単結晶半導体層の表面を除去することにより、単結晶半導体層表面に形成された欠陥の除去を行うことができ、単結晶半導体層の表面荒れを低減することができる。例えばICPエッチング法を用いる場合、エッチングガスである塩素の流量40sccm〜100sccm、コイル型の電極に投入する電力100W〜200W、下部電極(バイアス側)に投入する電力40W〜100W、反応圧力0.5Pa〜1.0Paとすれば良い。エッチングガスには、塩素、塩化硼素、塩化珪素または四塩化炭素などの塩素系ガス、四弗化炭素、弗化硫黄または弗化窒素などのフッ素系ガス、酸素などを適宜用いることができる。例えば、エッチングガスである塩素の流量100sccm、反応圧力1.0Pa、下部電極の温度70℃、コイル型の電極に投入するRF(13.56MHz)電力150W、下部電極(バイアス側)に投入する電力40Wとすることにより、単結晶半導体層108を50nm乃至60nm程度にまで薄膜化することができる。単結晶半導体層に存在する欠陥の大きさや深さは、イオンを添加するエネルギーの大きさやドーズ量に起因する。よって、ドライエッチングによって除去する膜厚は、ドライエッチング前の単結晶半導体層108の膜厚とその表面粗さの程度によって適宜設定すればよい。
図10(A)のドライエッチング処理は、次のように行うことができる。エッチングガスである塩素の流量100sccm、コイル型の電極に投入する電力150W、下部電極に投入する電力40W、反応圧力1.0Paとすることにより、単結晶半導体層108を95nm程度にまで除去する。
また、ウェットエッチングを用いて半導体層表面に形成された欠陥の除去を行う場合には、エッチング液として、水酸化テトラメチルアンモニウム(tetramethylammonium hydroxide、略称;TMAH、2.38%の水溶液)溶液を用いることができる。TMAH溶液は、0.0238wt%乃至0.0476wt%で用い、単結晶半導体層108を50nm乃至60nm程度にまで薄膜化することができる。なお、ウェットエッチングによって除去する膜厚は、ウェットエッチング処理前の単結晶半導体層108の膜厚とその表面粗さの程度によって適宜設定すればよい。
単結晶半導体基板を分離することにより支持基板に接着された単結晶半導体層表面にドライエッチング又はウェットエッチングを行うことにより、イオン添加工程や分離工程による欠陥を除去することができ、単結晶半導体層の表面粗さを低減することができる。
さらに、上記ドライエッチング又はウェットエッチングにより、後に形成される半導体素子にとって最適となる膜厚まで単結晶半導体層108を薄膜化することもできる。
なお、支持基板107に接着された単結晶半導体層109中には、損傷領域103の形成、及び損傷領域103による分離によって、結晶欠陥が形成されている。単結晶半導体層109中の結晶欠陥を低減、及び単結晶半導体層109中の結晶性の回復のために、図10(B)に示すように、単結晶半導体層109にレーザビーム106を照射する。
矢印113に示すように、支持基板107を移動させて、レーザビーム106を単結晶半導体層108に対して走査しながら、レーザビーム106を単結晶半導体層108の表面に対して照射する。レーザビーム106の照射によって、単結晶半導体層108の一部又は深さ方向の層全体を溶融させる。単結晶半導体層を溶融させることで表面張力の作用により、平坦性が向上する。図10(B)では模式的に単結晶半導体層の一部が溶融した様子を示しており、点線で囲まれた部分114の少なくとも一部はシリコンの融点1410℃を超えて液相となっていることを示している。
レーザビーム106の照射によって、単結晶半導体層109のレーザビームが照射されている領域を、部分溶融又は完全溶融させる。なお、単結晶半導体層109が完全溶融状態であるとは、膜の表面から下面までの層全体が溶融されていることをいう。図10(B)の積層構造では、完全溶融状態とは、単結晶半導体層109の上面から絶縁層102との界面まで溶融され、液体状態になっていることをいう。他方、単結晶半導体層109を部分溶融させるとは、単結晶半導体層109の溶融されている深さが、絶縁層102の界面(単結晶半導体層109の厚さ)よりも浅くすることである。つまり、単結晶半導体層109において部分溶融状態とは、単結晶半導体層109が上層は溶融して液相となり、下層は溶けずに、固相の単結晶半導体のままである状態をいう。
他方、レーザビーム106の照射により完全溶融させながら、レーザビーム106を走査することで、溶融された領域は、溶融された領域と隣接している単結晶半導体から結晶成長させることができ、横成長が起こる。溶融されていない部分は、単結晶であり、結晶方位が揃っているため、結晶粒界が形成されず、レーザビーム照射後の単結晶半導体層110は、結晶粒界のない単結晶半導体層とすることができる。また、完全溶融された領域は、凝固することで再単結晶化するが、隣接している溶融していない部分の単結晶半導体と結晶方位が揃った単結晶半導体が形成される。よって、主表面の面方位が(100)の単結晶シリコンを単結晶半導体基板101として用いた場合、単結晶半導体層110の主表面の面方位は、(100)であり、レーザビーム照射によって完全溶融し、再単結晶化された単結晶半導体層110の主表面の面方位(100)になる。
レーザビーム106の照射によって、単結晶半導体層109を部分溶融または完全溶融させることで、表面が平坦な単結晶半導体層110を形成することができる。これは、単結晶半導体層109の溶融された部分は液体であるため、表面張力の作用によって、その表面積が最小になるように変形する。つまり、液体部分は凹部、及び凸部がなくなるような変形をし、この液体部分が凝固し、再単結晶化するため、表面が平坦化された単結晶半導体層110を形成することができる。
溶融した後、単結晶半導体層109が冷却、固化することで、図10(C)に示すように、その上面の平坦性がさらに一段と向上され、かつ再単結晶化された単結晶半導体層110が形成される。また、レーザビームを照射することで、単結晶半導体層110の歪みを低下させることができる。なお、レーザビーム106による単結晶半導体層110の結晶性の向上は、ラマン分光スペクトルから得られるラマンシフトや半値全幅などにより確認することができる。また、単結晶半導体層110の平坦性の向上は、原子間力顕微鏡観察などにより確認することができる。
このレーザビームの照射工程では、レーザビーム106を用いているため、支持基板107の温度上昇が抑えられるため、ガラス基板のような耐熱性の低い基板を支持基板107に用いることが可能となる。
レーザビーム106を発振するレーザ発振器は、その発振波長が、紫外光域乃至可視光域にあるものが選択される。レーザビーム106の波長は、単結晶半導体層109に吸収される波長とする。その波長は、レーザビームの表皮深さ(skin depth)などを考慮して決定することができる。例えば、波長は190nm以上700nm以下の範囲とすることができる。
このレーザ発振器には、連続発振レーザ、疑似連続発振レーザ及びパルス発振レーザを用いることができる。部分溶融させる場合にはパルス発振レーザが好ましい。例えば、パルス発振レーザの場合は、繰り返し周波数1MHz以下、パルス幅10n秒以上500n秒以下とすることができる。例えば、繰り返し周波数10Hz〜300Hz、パルス幅25n秒、波長308nmのXeClエキシマレーザを用いることができる。
また、レーザビーム106のエネルギーは、レーザビーム106の波長、レーザビームの表皮深さなどを考慮して決定することができる。レーザビーム106のエネルギーは、例えば、300mJ/cm以上800mJ/cm以下の範囲とすることができ、例えば、単結晶半導体層109の厚さが120nm程度であり、レーザ発振器にパルス発振レーザを用い、レーザビーム106の波長が308nmの場合は、レーザビーム106のエネルギー密度は600mJ/cm〜700mJ/cmとすることができる。
レーザビーム106の照射の雰囲気は、希ガス又は窒素雰囲気のような不活性雰囲気、または真空状態で行うことが好ましい。不活性雰囲気中でレーザビーム106を照射するには、気密性のあるチャンバー内でレーザビームを照射し、このチャンバー内の雰囲気を制御すればよい。チャンバーを用いない場合は、レーザビーム106の被照射面に窒素ガスなど不活性ガスを吹き付けることで不活性雰囲気でのレーザビーム106の照射を実現することができる。
窒素などの不活性雰囲気や真空状態の方が、大気雰囲気よりも単結晶半導体層109の平坦性を向上させる効果が高く、また、これらの雰囲気の方が大気雰囲気よりもクラックやリッジの発生を抑える効果が高くなるため、レーザビーム106の使用可能なエネルギー範囲が広くなる。
光学系により、レーザビーム106は、エネルギー分布を均一にし、かつ断面の形状を線状にすることが好ましい。このことにより、スループット良く、かつレーザビーム106の照射を均一に行うことができる。レーザビーム106のビーム長は、支持基板107の1辺より長くすることで、1回の走査で、支持基板107に貼りつけられた全ての単結晶半導体層109にレーザビームを照射することができる。レーザビーム106のビーム長が支持基板107の1辺より短い場合は、複数回の走査で、支持基板107に貼りつけられた全ての単結晶半導体層109にレーザビーム106を照射することができるような、長さにすればよい。
なお、レーザビーム106を単結晶半導体層109に照射する前に、単結晶半導体層109の表面に形成されている自然酸化膜などの酸化膜を除去する処理を行う。酸化膜を除去するのは、単結晶半導体層109表面に酸化膜が残存した状態で、レーザビーム106を照射しても、平坦化の効果が十分に得られないからである。酸化膜の除去処理は、フッ化水素酸で単結晶半導体層109を処理することで行うことができる。フッ化水素酸による処理は、単結晶半導体層109の表面が撥水性を示すまで行うことが望ましい。撥水性を示すことで、単結晶半導体層109から酸化膜が除去されたことが確認できる。
図10(B)のレーザビーム106の照射工程は、次のように行うことができる。まず、単結晶半導体層109を1/100に希釈されたフッ化水素酸で110秒間処理して、表面の酸化膜を除去する。レーザビーム106のレーザ発振器として、XeClエキシマレーザ(波長:308nm、パルス幅:25n秒、繰り返し周波数60Hz)を用いる。光学系により、レーザビーム106の断面を300mm×0.34mmの線状に整形する。レーザビーム106の走査速度を2.0mm/秒とし、スキャンピッチを33μm、ビームショット数を約10ショットで、レーザビーム106を単結晶半導体層109に照射する。照射面に窒素ガスを吹き付けながら、レーザビーム106を走査する。支持基板107が730mm×920mmの場合は、レーザビーム106のビーム長が300mmであるので、レーザビーム106の照射領域を3分割することで、支持基板107が接着された単結晶半導体層109にレーザビーム106を照射することができる。
このようにして、単結晶半導体層109にレーザビームを照射することにより、単結晶半導体層の一部または全部を溶融させ、再単結晶化させてよりよい単結晶半導体層を得ることができる。これにより、イオンの添加に起因する欠陥を低減し、単結晶半導体層の結晶性が回復された単結晶半導体層をとすることができる。また、レーザビームを照射する前にドライエッチング処理を行うことにより、単結晶半導体層の溶融時に、欠陥やダメージを単結晶半導体層中に取り込むことを防ぐことができる。
単結晶半導体層109を再単結晶化させることで、単結晶半導体基板101から、高いオン電流、高い電界効果移動度のトランジスタを形成することができる。単結晶半導体層の再単結晶化の処理をレーザビーム106の照射処理で行うため、支持基板107を破損する力を加えることなく、かつ耐熱温度を超える温度で支持基板107を加熱することなく、単結晶半導体層109の再単結晶をさせて、単結晶の形成を可能にする。
また、レーザビームを照射することにより、支持基板表面を短時間で加熱し、短時間で冷却できるので、支持基板の温度上昇が抑えられ、ガラス基板のような耐熱性の低い基板を支持基板に用いることが可能となる。よって、イオン添加工程による単結晶半導体層中のダメージを十分回復させることができる。
なお、レーザビーム106を照射する前に、ドライエッチングにより単結晶半導体層108の表面を除去している場合、ドライエッチングにより単結晶半導体層108の表面付近で結晶欠陥などの損傷が生じていることがある。しかし、レーザビーム106の照射により、ドライエッチングにより生じる損傷をも補修することが可能である。
単結晶半導体基板の損傷領域において、単結晶半導体基板を分離して、支持基板に接着された単結晶半導体層にエッチングを行うことにより、単結晶半導体層表面の結晶欠陥を除去し、単結晶半導体層の表面粗さを低減することができる。また、単結晶半導体層表面の欠陥をドライエッチングにより除去しているため、レーザビームを照射することによる単結晶半導体層の溶融時に単結晶半導体層中に欠陥を取り込むことを防ぐことができる。よって、結晶欠陥が低減され、かつ平坦性の高い単結晶半導体層とすることができる。
次いで、レーザビーム106を照射して、図10(C)に示す単結晶半導体層110を有するSOI基板を形成し、後に形成される半導体素子にとって最適となる膜厚まで単結晶半導体層110を薄膜化するための処理を行う(図10(D)参照)。
単結晶半導体層110を薄膜化するためには、ドライエッチング又はウェットエッチングの一方、又は双方を組み合わせたエッチングを行えばよい。例えば、単結晶半導体基板101がシリコン基板の場合、SFとOをプロセスガスに用いたドライエッチングで、単結晶半導体層110を薄膜化することができる(図10(D)参照)。
レーザビーム照射後にエッチングを行うことにより、半導体素子にとって最適な膜厚となる単結晶半導体層を有するSOI基板を作製することができる。このエッチングにより、単結晶半導体層の膜厚は5nm以上100nm以下とすることが好ましく、5nm以上50nm以下がより好ましい。例えば、支持基板に接着された単結晶半導体層の膜厚が110nmであれば、15nm、ドライエッチングを行い、レーザビーム照射後のエッチングにおいて、単結晶半導体層111の膜厚を60nmとすることができる。なお、必ずしも、レーザビーム106照射後に、単結晶半導体層110の表面にエッチングを行う必要はない。例えば、支持基板に接着された単結晶半導体層の膜厚が110nmであれば、レーザビーム106照射前のドライエッチングにおいて単結晶半導体層の膜厚を60nmとすることもできる。
レーザビーム106を照射した後、単結晶半導体層111に500℃以上700℃以下の加熱処理を行うことが好ましい。この加熱処理によって、レーザビーム106の照射で回復されなかった、単結晶半導体層111の欠陥の消滅、単結晶半導体層111の歪みの緩和をすることができる。この加熱処理には、RTA(Rapid Thermal Anneal)装置、抵抗加熱炉、マイクロ波加熱装置を用いることができる。RTA装置には、GRTA(Gas Rapid Thermal Anneal)装置、LRTA(Lamp Rapid Thermal Anneal)装置を用いることができる。例えば、抵抗加熱炉を用いた場合は、550℃で4時間加熱するとよい。
以上の工程により、図10(D)に示すSOI基板121を作製することができる。
以上のように本実施の形態において、支持基板に接着された単結晶半導体層に、エッチングを行い、レーザビームを照射することにより、単結晶半導体層中の結晶欠陥が低減された単結晶半導体層を有するSOI基板を製造することができる。また、ガラス基板等耐熱温度が低い基板を用いた場合にも、実用に耐える単結晶半導体層を備えたSOI基板を製造することができる。
また、本実施の形態に係る単結晶半導体層からトランジスタなどの半導体素子を作製することで、ゲート絶縁層の薄膜化及びゲート絶縁層の局在界面準位密度の低減が可能となる。また、単結晶半導体層の膜厚を薄くすることで、支持基板上に、単結晶半導体層で完全空乏型となり、サブスレッショルド値が小さいトランジスタを作製することができる。
なお、SOI基板の大面積化を図る場合には、1枚の支持基板107上に複数の単結晶半導体層111を貼りつけた構成とすればよい。例えば、図1(A)、図1(B)を用いて説明した工程を経て、損傷領域103が形成された単結晶半導体基板101を複数用意する。次いで、図4(B)の接着工程を経て、1枚の支持基板107に複数の単結晶半導体基板101を用いて、仮接着を行う。そして、図6(A)の加熱工程を行い、各単結晶半導体基板101を分離することで、支持基板107上に、複数の単結晶半導体層111が接着される。その後、図6(B)、図7(A)、図7(B)、図10(B)等に示す工程を行うことにより、複数の単結晶半導体層111が貼りつけられたSOI基板を形成することができる(図11参照)。
本実施の形態において、単結晶半導体基板101として単結晶シリコン基板を適用した場合は、単結晶半導体層111として単結晶シリコンを得ることが可能である。
本実施の形態に係るSOI基板の製造方法は、プロセス温度を700℃以下とすることができるため、支持基板107としてガラス基板を適用することができる。すなわち、従来の薄膜トランジスタと同様にガラス基板上に形成することができ、かつ単結晶シリコン層をTFTの活性層に適用することが可能となる。これらのことにより、高速動作が可能で、サブスレッショルド値が低く、電界効果移動度が高く、低消費電圧で駆動可能な高性能、高信頼性のトランジスタをガラス基板等の支持基板上に作製することができる。従って、高性能及び高信頼性な半導体装置を歩留まり良く作製することができる。
また、大面積化に不向きなCMP処理を用いずに済むため、高性能な半導体装置の大面積化を実現することができる。もちろん、大面積基板を用いることに限定されず、小型の基板を用いる場合であっても、良好な半導体装置を提供することができる。
(実施の形態2)
本実施の形態では、実施の形態1とは異なるSOI基板の作製方法について図12を用いて説明する。以下、実施の形態1と同様の構成については同一の符号を付し、説明を省略する。
はじめに、図6(A)に示すような支持基板107上に絶縁層を介して単結晶半導体層108が接着された基板を用意し、単結晶半導体層108上に単結晶半導体層108を選択的に除去するためのマスク118を形成する(図12(A)参照)。なお、マスク118は、フォトリソグラフィ法やインクジェット法を用いて形成することができる。ここで、マスクの形状、面積等は任意であるが、単結晶半導体層108を所望の形状、面積等に加工できるように形成する。
次に、単結晶半導体層108を選択的に除去して、複数の単結晶半導体層123を形成する(図12(B)参照)。単結晶半導体層108を選択的に除去するには、ドライエッチング又はウェットエッチングにより行うことができる。ドライエッチングは異方性を強くすることができ、ウェットエッチングは等方性を強くすることができるという特徴を有している。ここで、単結晶半導体層123は、半導体素子(例えば、トランジスタ)を1乃至1×10個程度作製できる大きさとすることが好ましい。具体的には、1mm以上10000mm以下(好ましくは25mm以上2500mm以下、より好ましくは、100mm以上150mm以下)程度の面積となるように形成することができる。なお、単結晶半導体層123同士の間隔については、任意に決定することができる。
上記単結晶半導体層108の除去の際には、絶縁層102及び絶縁層104は、除去せずに残存させることが好ましい。絶縁層102及び絶縁層104を除去しないことにより、単結晶半導体層123の下部にはバリア層として機能する絶縁層102が存在することとなり、支持基板107に含まれる不純物元素(例えば、ナトリウムやカリウム等のアルカリ金属や、マグネシウム、カルシウム等のアルカリ土類金属、鉄、銅、ニッケル等の遷移金属)の単結晶半導体層への進入を防止できるためである。
次いで、マスク118を除去し、支持基板107に接着された複数の単結晶半導体層123を押圧する(図12(C)参照)。単結晶半導体層123の押圧には、実施の形態1(図7)で示した真空プレス法や、油圧、空気圧等の方法を用いることができる。
このように、支持基板107に接着された複数の単結晶半導体層123を押圧することにより、単結晶半導体基板101に形成された絶縁層104と支持基板107との接着界面に微小な異物が付着していた場合であっても、接着不良を低減することができ、接着強度を高めることができる。また、支持基板の反りや撓みにより、接着界面の一部に気泡が取り込まれていた場合であっても、接着不良を低減することができ、接着強度を高めることができる。
本実施の形態は、他の実施の形態と適宜組み合わせて用いることができる。
(実施の形態3)
本実施の形態では、上記の実施の形態とは異なるSOI基板の作製方法について図13及び図14を用いて説明する。以下、実施の形態1と同様の構成については同一の符号を付し、説明を省略する。
図13(A)に示すように、単結晶半導体基板101上に酸化窒化シリコン層102a、窒化酸化シリコン層102bからなる絶縁層102を形成する。次に、図13(B)に示すように、絶縁層102を介して、電界で加速されたイオンでなるイオンビーム105を単結晶半導体基板101に照射することで単結晶半導体基板に導入し、単結晶半導体基板101の一方の面から所定の深さの領域に損傷領域103を形成する。次に、図13(C)に示すように、単結晶半導体基板101上の絶縁層102上に絶縁層104を形成する。絶縁層104は、10nm以上1000nm以下で形成することができ、500nm以上1000nm以下で形成されることが好ましい。
次に、図13(D)に示すように、絶縁層が形成された単結晶半導体基板に対して選択的にエッチングを行い、絶縁層及び単結晶半導体基板に損傷領域よりも深い開口部を設ける。本実施の形態では、マスク118を用い、絶縁層102、絶縁層104及び単結晶半導体基板101をエッチングにより選択的に除去し、絶縁層102、絶縁層104及び単結晶半導体基板101に損傷領域103の深さと同じか、それ以上の深さの開口部を設け、複数の凸部119を有する単結晶半導体基板101を形成する。
単結晶半導体基板101は、複数の凸部119の単結晶半導体基板101に対して垂直方向(深さ方向)における幅dが、損傷領域103の深さと同じか、それ以上の大きさを有する。なお、複数の凸部119の単結晶半導体基板101に対して垂直方向(深さ方向)における幅dは、必ずしも一定である必要はなく、場所によって異なる値を有していてもよい。具体的に、幅dは、単結晶半導体層の厚さを考慮して、例えば、10nm以上、好ましくは200nm以上とする。
なお、単結晶半導体基板101は、反りや撓みを有している場合や、端部に若干丸みを帯びている場合がある。そして、単結晶半導体基板101から単結晶半導体膜を分離するために水素又は希ガス、或いは水素イオン又は希ガスイオンを導入する際、単結晶半導体基板101の端部において上記ガス又はイオンの照射を十分に行うことができない場合もある。そのため、単結晶半導体基板101の端部に位置する部分は、単結晶半導体層を分離するのが難しい。よって、単結晶半導体基板101の端部に位置する部分は、単結晶半導体基板101の縁から所定の間隔を有するよう、離れた位置に形成するのが望ましい。単結晶半導体基板101の縁から所定の間隔を有するよう、離れた位置に凸部119を形成することで、再現性良く分離による単結晶半導体層の形成を行うことができる。例えば、最も端部に位置する凸部119と、単結晶半導体基板101の縁との間隔は、数10μm乃至数10mmとすると良い。
次にマスク118を除去し、図14(A)に示すように、凸部119が支持基板107側を向くように、すなわち、絶縁層102及び絶縁層104を間に挟むように、単結晶半導体基板101と支持基板107とを密着させる。絶縁層104と支持基板107とが凸部119において接着することで、単結晶半導体基板101と支持基板107とを貼り合わせることができる。
単結晶半導体基板101と支持基板107とを接着により貼り合わせた後、熱処理を行うことにより、損傷領域103において隣接する微小ボイドどうしが結合して、微小ボイド体積が増大する。その結果、図14(B)にしめすように、損傷領域103において単結晶半導体基板101が分離し、単結晶半導体基板101の一部であった単結晶半導体層が分離する。熱処理の温度は支持基板107の耐熱温度以下で行うことが好ましく、例えば400℃乃至600℃の範囲内で熱処理を行えばよい。この分離により、複数の単結晶半導体層125a、125b、125cが、絶縁層102及び絶縁層104と共に支持基板107上に接着される。
次いで、支持基板107に接着された複数の単結晶半導体層125a、125b、125cを押圧する。単結晶半導体層125a、125b、125cの押圧には、実施の形態1(図7)で示した真空プレス法や、油圧、空気圧等の方法を用いることができる。
このように、支持基板107に接着された複数の単結晶半導体層125a、125b、125cを押圧することにより、単結晶半導体基板101に形成された絶縁層104と支持基板107との接着界面に微小な異物が付着していた場合であっても、接着不良を低減することができ、接着強度を高めることができる。また、支持基板の反りや撓みにより、接着界面の一部に気泡が取り込まれていた場合であっても、接着不良を低減することができ、接着強度を高めることができる。
単結晶半導体基板101と支持基板107との貼り合わせを行う前に、単結晶半導体基板101上で所望の半導体素子の形状及び配置に単結晶半導体層を加工するために、支持基板107においての単結晶半導体層のエッチング等の加工処理を必要としない。よって、支持基板107へ単結晶半導体層が接着された後の加工処理に伴う加熱の必要性やエッチングダメージを軽減することができる。
本実施の形態は、他の実施の形態と適宜組み合わせて用いることができる。
(実施の形態4)
本実施の形態では、高性能及び高信頼性な半導体素子を有する半導体装置を、歩留まりよく作製することを目的とした半導体装置の作製方法の一例としてCMOS(相補型金属酸化物半導体:Complementary Metal Oxide Semiconductor)に関して図15及び図16を用いて説明する。なお、実施の形態1と同一部分又は同様な機能を有する部分の繰り返しの説明は省略する。
図15(A)は、支持基板107上に絶縁層104、絶縁層102、単結晶半導体層111が形成されている。なお、ここでは図15(A)に示す構成のSOI基板を適用する例を示すが、本明細書で示すその他の構成のSOI基板も適用できる。
単結晶半導体層111は、単結晶半導体基板101より分離され、高エネルギーを有する少なくとも一種類の粒子により該高エネルギーを供給することによる加熱処理及び第1のエッチングを行っているため、結晶欠陥も低減され、かつ平坦性も高い単結晶半導体層111である。
単結晶半導体層111には、nチャネル型電界効果トランジスタ及びpチャネル型電界効果トランジスタの形成領域に合わせて、硼素、アルミニウム、ガリウムなどのp型不純物、若しくはリン、砒素などのn型不純物を添加することが好ましい。すなわち、nチャネル型電界効果トランジスタの形成領域に対応してp型不純物を添加し、pチャネル型電界効果トランジスタの形成領域に対応してn型不純物を添加して、所謂ウェル領域を形成する。不純物イオンのドーズ量は1×1012atoms/cmから1×1014atoms/cm程度で行えば良い。さらに、電界効果トランジスタのしきい値電圧を制御する場合には、これらのウェル領域にp型若しくはn型不純物を添加すれば良い。
単結晶半導体層111をエッチングして、半導体素子の配置に合わせて島状に分離した単結晶半導体層205、206を形成する(図15(B)参照。)。
単結晶半導体層上の酸化膜を除去し、単結晶半導体層205、206を覆うゲート絶縁層207を形成する。本実施の形態における単結晶半導体層205、206は平坦性が高いため、単結晶半導体層205、206上に形成されるゲート絶縁層が薄膜のゲート絶縁層であっても被覆性よく覆うことができる。従ってゲート絶縁層の被覆不良による特性不良を防ぐことができ、高信頼性の半導体装置を歩留まりよく作製することができる。ゲート絶縁層207の薄膜化は、薄膜トランジスタを低電圧で高速に動作させる効果がある。
ゲート絶縁層207は酸化珪素、若しくは酸化珪素と窒化珪素の積層構造で形成すればよい。ゲート絶縁層207は、プラズマCVD法や減圧CVD法により絶縁膜を堆積することで形成しても良いし、プラズマ処理による固相酸化若しくは固相窒化で形成すると良い。単結晶半導体層を、プラズマ処理により酸化又は窒化することにより形成するゲート絶縁層は、緻密で絶縁耐圧が高く信頼性に優れているためである。
また、ゲート絶縁層207として、二酸化ジルコニウム、酸化ハフニウム、二酸化チタン、五酸化タンタルなどの高誘電率材料を用いても良い。ゲート絶縁層207に高誘電率材料を用いることにより、ゲートリーク電流を低減することができる。
ゲート絶縁層207上にゲート電極層208及びゲート電極層209を形成する(図15(C)参照。)。ゲート電極層208、209は、スパッタリング法、蒸着法、CVD法等の手法により形成することができる。ゲート電極層208、209はタンタル(Ta)、タングステン(W)、チタン(Ti)、モリブデン(Mo)、アルミニウム(Al)、銅(Cu)、クロム(Cr)、ネオジム(Nd)から選ばれた元素、又は前記元素を主成分とする合金材料もしくは化合物材料で形成すればよい。また、ゲート電極層208、209としてリン等の不純物元素をドーピングした多結晶シリコン層に代表される半導体層や、AgPdCu合金を用いてもよい。
単結晶半導体層206を覆うマスク211を形成する。マスク211及びゲート電極層208をマスクとして、n型を付与する不純物元素210を添加し、第1のn型不純物領域212a、212bを形成する(図15(D)参照。)。本実施の形態では、不純物元素を含むドーピングガスとしてホスフィン(PH)を用いる。ここでは、第1のn型不純物領域212a、212bに、n型を付与する不純物元素が1×1017〜5×1018atoms/cm程度の濃度で含まれるように添加する。本実施の形態では、n型を付与する不純物元素としてリン(P)を用いる。
次に、単結晶半導体層205を覆うマスク214を形成する。マスク214、ゲート電極層209をマスクとしてp型を付与する不純物元素213を添加し、第1のp型不純物領域215a、第1のp型不純物領域215bを形成する(図15(E)参照。)。本実施の形態では、不純物元素としてボロン(B)を用いるため、不純物元素を含むドーピングガスとしてはジボラン(B)などを用いる。
マスク214を除去し、ゲート電極層208、209の側面にサイドウォール構造の側壁絶縁層216a〜216d、ゲート絶縁層233a、233bを形成する(図16(A)参照。)。側壁絶縁層216a〜216dは、ゲート電極層208、209を覆う絶縁層を形成した後、これをRIE(Reactive ion etching:反応性イオンエッチング)法による異方性のエッチングによって加工し、ゲート電極層208、209の側壁に自己整合的にサイドウォール構造の側壁絶縁層216a〜216dを形成すればよい。ここで、絶縁層について特に限定はなく、TEOS(tetraethyl−ortho silicate)若しくはシラン等と、酸素若しくは亜酸化窒素等とを反応させて形成した段差被覆性のよい酸化珪素であることが好ましい。絶縁層は熱CVD、プラズマCVD、常圧CVD、バイアスECRCVD、スパッタリング等の方法によって形成することができる。ゲート絶縁層233a、233bはゲート電極層208、209、及び側壁絶縁層216a〜216dをマスクとしてゲート絶縁層207をエッチングして形成することができる。
また、本実施の形態では、絶縁層をエッチングする際、ゲート電極層上の絶縁層を除去し、ゲート電極層を露出させるが、絶縁層をゲート電極層上に残すような形状に側壁絶縁層216a〜216dを形成してもよい。また、後工程でゲート電極層上に保護膜を形成してもよい。このようにゲート電極層を保護することによって、エッチング加工する際、ゲート電極層の膜減りを防ぐことができる。また、ソース領域及びドレイン領域にシリサイドを形成する場合、シリサイド形成時に成膜する金属膜とゲート電極層とが接しないので、金属膜の材料とゲート電極層の材料とが反応しやすい材料であっても、化学反応や拡散などの不良を防止することができる。エッチング方法は、ドライエッチング法でもウェットエッチング法でもよく、種々のエッチング方法を用いることができる。本実施の形態では、ドライエッチング法を用いる。エッチング用ガスとしては、Cl、BCl、SiClもしくはCClなどを代表とする塩素系ガス、CF、SFもしくはNFなどを代表とするフッ素系ガス又はOを適宜用いることができる。
次に単結晶半導体層206を覆うマスク218を形成する。マスク218、ゲート電極層208、側壁絶縁層216a、216bをマスクとしてn型を付与する不純物元素217を添加し、第2のn型不純物領域219a、219b、第3のn型不純物領域220a、220bが形成される。本実施の形態では、不純物元素を含むドーピングガスとしてPHを用いる。ここでは、第2のn型不純物領域219a、219bにn型を付与する不純物元素が5×1019〜5×1020atoms/cm程度の濃度で含まれるように添加する。また、単結晶半導体層205にチャネル形成領域221が形成される(図16(B)参照。)。
第2のn型不純物領域219a、第2のn型不純物領域219bは高濃度n型不純物領域であり、ソース、ドレインとして機能する。一方、第3のn型不純物領域220a、220bは低濃度不純物領域であり、LDD(LightlyDoped Drain)領域となる。第3のn型不純物領域220a、220bはゲート電極層208に覆われていないLoff領域に形成されるため、オフ電流を低減する効果がある。この結果、オフ電流が低く、低消費電力の半導体装置を作製することが可能である。
マスク218を除去し、単結晶半導体層205を覆うマスク223を形成する。マスク223、ゲート電極層209、側壁絶縁層216c、216dをマスクとして、p型を付与する不純物元素222を添加し、第2のp型不純物領域224a、224b、第3のp型不純物領域225a、225bを形成する。
第2のp型不純物領域224a、224bにp型を付与する不純物元素が1×1020〜5×1021atoms/cm程度の濃度で含まれるように添加する。本実施の形態では、第3のp型不純物領域225a、225bは、側壁絶縁層216c、216dにより、自己整合的に第2のp型不純物領域224a、224bより低濃度となるように形成する。また、単結晶半導体層206にチャネル形成領域226が形成される(図16(C)参照。)。
第2のp型不純物領域224a、224bは高濃度p型不純物領域であり、ソース、ドレインとして機能する。一方、第3のp型不純物領域225a、225bは低濃度不純物領域であり、LDD(LightlyDoped Drain)領域となる。第3のp型不純物領域225a、225bはゲート電極層209に覆われていないLoff領域に形成されるため、オフ電流を低減する効果がある。この結果、さらにオフ電流が低く、低消費電力の半導体装置を作製することが可能である。
マスク223を除去し、不純物元素を活性化するために加熱処理、強光の照射、又はレーザビームの照射を行ってもよい。活性化と同時にゲート絶縁層へのプラズマダメージやゲート絶縁層と単結晶半導体層との界面へのプラズマダメージを回復することができる。
次いで、ゲート電極層、ゲート絶縁層を覆う層間絶縁層を形成する。本実施の形態では、保護膜となる水素を含む絶縁膜227と、絶縁層228との積層構造とする。絶縁膜227と絶縁層228は、スパッタ法、またはプラズマCVDを用いた窒化珪素膜、窒化酸化珪素膜、酸化窒化珪素膜、酸化珪素膜でもよく、他の珪素を含む絶縁膜を単層または3層以上の積層構造として用いても良い。
さらに、窒素雰囲気中で、300〜550℃で1〜12時間の熱処理を行い、単結晶半導体層を水素化する工程を行う。好ましくは、400〜500℃で行う。この工程は層間絶縁層である絶縁膜227に含まれる水素により単結晶半導体層のダングリングボンドを終端する工程である。本実施の形態では、410度(℃)で1時間加熱処理を行う。
絶縁膜227、絶縁層228としては他に窒化アルミニウム(AlN)、酸化窒化アルミニウム(AlON)、窒素含有量が酸素含有量よりも多い窒化酸化アルミニウム(AlNO)または酸化アルミニウム、ダイヤモンドライクカーボン(DLC)、窒素含有炭素(CN)その他の無機絶縁性材料を含む物質から選ばれた材料で形成することができる。また、シロキサン樹脂を用いてもよい。なお、シロキサン樹脂とは、Si−O−Si結合を含む樹脂に相当する。シロキサンは、シリコン(Si)と酸素(O)との結合で骨格構造が構成される。置換基として、少なくとも水素を含む有機基(例えばアルキル基、アリール基)が用いられる。置換基として、フルオロ基を用いてもよい。または置換基として、少なくとも水素を含む有機基と、フルオロ基とを用いてもよい。また、有機絶縁性材料を用いてもよく、有機材料としては、ポリイミド、アクリル、ポリアミド、ポリイミドアミド、レジスト又はベンゾシクロブテン、ポリシラザンを用いることができる。平坦性のよい塗布法によってされる塗布膜を用いてもよい。
絶縁膜227、絶縁層228は、ディップ、スプレー塗布、ドクターナイフ、ロールコーター、カーテンコーター、ナイフコーター、CVD法、蒸着法等を採用することができる。液滴吐出法により絶縁膜227、絶縁層228を形成してもよい。液滴吐出法を用いた場合には材料液を節約することができる。また、液滴吐出法のようにパターンが転写、または描写できる方法、例えば印刷法(スクリーン印刷やオフセット印刷などパターンが形成される方法)なども用いることができる。
次いで、レジストからなるマスクを用いて絶縁膜227、絶縁層228に単結晶半導体層に達するコンタクトホール(開口)を形成する。エッチングは、用いる材料の選択によって、一回で行っても複数回行っても良い。エッチングによって、絶縁膜227、絶縁層228を除去し、ソース領域又はドレイン領域である第2のn型不純物領域219a、219b、第2のp型不純物領域224a、224bに達する開口を形成する。エッチングは、ウェットエッチングでもドライエッチングでもよく、両方用いてもよい。ウェットエッチングのエッチャントは、フッ素水素アンモニウム及びフッ化アンモニウムを含む混合溶液のようなフッ酸系の溶液を用いるとよい。エッチング用ガスとしては、Cl、BCl、SiClもしくはCClなどを代表とする塩素系ガス、CF、SFもしくはNFなどを代表とするフッ素系ガス又はOを適宜用いることができる。また用いるエッチング用ガスに不活性気体を添加してもよい。添加する不活性元素としては、He、Ne、Ar、Kr、Xeから選ばれた一種または複数種の元素を用いることができる。
開口を覆うように導電膜を形成し、導電膜をエッチングして各ソース領域又はドレイン領域の一部とそれぞれ電気的に接続するソース電極層又はドレイン電極層として機能する配線層229a、229b、230a、230bを形成する。配線層は、PVD法、CVD法、蒸着法等により導電膜を成膜した後、所望の形状にエッチングして形成することができる。また、液滴吐出法、印刷法、電解メッキ法等により、所定の場所に選択的に導電層を形成することができる。更にはリフロー法、ダマシン法を用いても良い。配線層の材料は、Ag、Au、Cu、Ni、Pt、Pd、Ir、Rh、W、Al、Ta、Mo、Cd、Zn、Fe、Ti、Zr、Ba等の金属、及びSi、Ge、又はその合金、若しくはその窒化物を用いて形成する。また、これらの積層構造としても良い。
以上の工程でCMOS構造のnチャネル型薄膜トランジスタである薄膜トランジスタ231及びpチャネル型薄膜トランジスタである薄膜トランジスタ232を含む半導体装置を作製することができる(図16(D)参照。)。図示しないが、本実施の形態はCMOS構造であるため、薄膜トランジスタ231と薄膜トランジスタ232とは電気的に接続している。
本実施の形態に限定されず、薄膜トランジスタはチャネル形成領域が一つ形成されるシングルゲート構造でも、二つ形成されるダブルゲート構造もしくは三つ形成されるトリプルゲート構造であっても良い。
以上のように、結晶欠陥が低減され、かつ平坦性も高い単結晶半導体層を有するSOI基板を用いることにより、高性能及び高信頼性な半導体装置を歩留まり良く作製することができる。
このようなSOI基板を用いて薄膜トランジスタを作製することができる。SOI基板の単結晶半導体層は、結晶欠陥が殆ど無く、ゲート絶縁層207との界面準位密度が低減された単結晶半導体層であり、その表面が平坦化され、さらにその厚さを50nm以下と薄膜化されている。このことにより、支持基板107に、低い駆動電圧、高い電界効果移動、小さいサブスレッショルド値など、優れた特性を備えた薄膜トランジスタを形成することができる。さらに、同一基板上に特性のばらつきの少ない、高性能なトランジスタを複数基板上に形成することが可能である。すなわち、本発明に係るSOI基板を用いることで、しきい値電圧や移動度などトランジスタ特性として重要な特性値の不均一性が抑制され、また高電界移動度などの高性能化が可能になる。
従って、本発明に係るSOI基板を用いてTFTなど各種の半導体素子を形成することで、高付加価値の半導体装置を作製することができる。
(実施の形態5)
本実施の形態では、本発明の半導体装置の製造方法の一例について、図17乃至19を参照して説明する。なお、本実施の形態においては、半導体装置の一例として液晶表示装置を挙げて説明するが、本発明の半導体装置は液晶表示装置に限られるものではない。
はじめに、実施の形態1に示す方法などを用いて作製された、単結晶半導体層を有するSOI基板を用意する(図17(A)参照)。ここでは、絶縁表面を有する基板1107(ベース基板)の上に絶縁層1102、絶縁層1104(接合層とも記す)、単結晶半導体層1111を順に設けた構成を用いて説明するが、本発明はこれに限られるものではない。ここで、絶縁層1104は、酸化珪素、窒化珪素、酸化窒化珪素、窒化酸化珪素などの材料を用いて形成することができる。また、絶縁層1104は積層構造であっても良い。詳細については、実施の形態1を参照することができる。なお、該SOI基板は、実施の形態1におけるSOI基板121に対応し、絶縁層1102は絶縁層102に対応している。
次に、単結晶半導体層1111を所望の形状にパターニングして、島状の単結晶半導体層を形成する。本実施の形態においても、実施の形態1と同様に、半導体特性が低下した領域が除去されるように単結晶半導体層1111のパターニングを行う。詳細については実施の形態1を参照することができるため、ここでは省略する。パターニングの際のエッチング加工としては、ドライエッチング(プラズマエッチング等)、ウェットエッチングのどちらを採用しても良いが、大面積基板を処理するにはプラズマエッチングが適している。エッチングガスとしては、CF、NF、Cl、BCl、などのフッ素系又は塩素系のガスを用い、HeやArなどの不活性ガスを適宜加えても良い。また、大気圧放電のエッチング加工を適用すれば、局所的な放電加工も可能であり、基板の全面にマスク層を形成することなくエッチングを行うことができる。
また、単結晶半導体層1111は、テーパー形状となるように島状に形成しても良いし、島状の単結晶半導体層の端部が丸みを帯びた形状となるように加工しても良い。島状の単結晶半導体層がテーパー形状となるように形成されることで、後に形成される絶縁層や導電層の被覆が良好に行われるため、絶縁層や導電層の段切れを防止することができる。また、島状の単結晶半導体層の端部が丸みを帯びることにより、電界の集中を緩和して半導体素子に不具合が生じることを防止できる。
なお、絶縁層1104はエッチングしないで残存させる構成とすることが好ましい。絶縁層1104を残存させることにより、絶縁表面を有する基板1107中に含まれる不純物元素(例えば、ナトリウムやカリウム等のアルカリ金属や、マグネシウム、カルシウム等のアルカリ土類金属、鉄、銅、ニッケル等の遷移金属)の単結晶半導体層への侵入を防止できるためである。
単結晶半導体層1111をパターニングした後には、しきい値電圧を制御するために、硼素、アルミニウム、ガリウムなどのp型不純物を添加すると良い。例えば、p型不純物として、硼素を5×1016atoms/cm以上1×1018atoms/cm以下の濃度で添加することができる。
絶縁層1104は、不純物元素に対するバリア層を有していることが好ましい。上記のバリア層は、例えば、窒化シリコンや窒化酸化シリコン等材料を用いて形成することができる。バリア層を設ける場合には、例えば、窒化酸化シリコン、酸化窒化シリコンの積層構造とすることができる。窒化酸化シリコンに代えて窒化シリコンを用いても良い。また、酸化窒化シリコンに代えて酸化シリコンを用いても良い。
次に、島状の単結晶半導体層を覆うゲート絶縁層1108を形成する(図17(B)参照)。なお、ここでは便宜上、パターニングによって形成された島状の単結晶半導体層をそれぞれ単結晶半導体層1112、単結晶半導体層1113、単結晶半導体層1114と呼ぶことにする。ゲート絶縁層1108はプラズマCVD法またはスパッタ法などを用い、厚さを10nm以上150nm以下として珪素を含む絶縁膜で形成する。具体的には、窒化シリコン、酸化シリコン、酸化窒化シリコン、窒化酸化シリコンに代表される珪素の酸化物材料又は窒化物材料等の材料で形成すればよい。なお、ゲート絶縁層1108は単層構造であっても良いし、積層構造としても良い。さらに、単結晶半導体層とゲート絶縁層との間に、膜厚1nm以上100nm以下、好ましくは1nm以上10nm以下、より好ましくは2nm以上5nm以下の薄い酸化シリコン膜を形成してもよい。なお、低い温度でリーク電流の少ないゲート絶縁膜を形成するために、アルゴンなどの希ガス元素を反応ガスに含ませても良い。
次に、ゲート絶縁層1108上にゲート電極層として用いる第1の導電膜と第2の導電膜とを積層して形成する。第1の導電膜の膜厚は20nm以上100nm以下程度、第2の導電膜の膜厚は100nm以上400nm以下程度とすれば良い。また、第1の導電膜と第2の導電膜は、スパッタリング法、蒸着法、CVD法等の手法により形成することができる。第1の導電膜と第2の導電膜は、タンタル、タングステン、チタン、モリブデン、アルミニウム、銅、クロム、ネオジム等から選ばれた元素、又は前記の元素を主成分とする合金材料もしくは化合物材料等を用いて形成すればよい。また、第1の導電膜や第2の導電膜としてリン等の不純物元素をドーピングした多結晶シリコン膜に代表される半導体膜や、AgPdCu合金などを用いてもよい。なお、本実施の形態においては2層構造の導電層を用いて説明しているが、本発明はこれに限定されない。3層以上の積層構造としても良いし、単層構造であっても良い。
次に、フォトリソグラフィ法を用いてレジスト材料からなるマスク1116a、マスク1116b、マスク1116c、マスク1116d、及びマスク1116eを形成する。そして、前記のマスクを用いて第1の導電膜と第2の導電膜を所望の形状に加工し、第1のゲート電極層1118a、第1のゲート電極層1118b、第1のゲート電極層1118c、第1のゲート電極層1118d、第1の導電層1118e、導電層1120a、導電層1120b、導電層1120c、導電層1120d、及び導電層1120eを形成する(図17(C)参照)。
ここで、ICP(Inductively Coupled Plasma:誘導結合型プラズマ)エッチング法を用い、エッチング条件(コイル型の電極層に印加される電力量、基板側の電極層に印加される電力量、基板側の電極温度等)を適宜調節することにより、所望のテーパー形状となるようにエッチングを行うことができる。また、マスクの形状によって、テーパーの角度等を制御することもできる。なお、エッチング用ガスとしては、Cl、BCl、SiClもしくはCClなどを代表とする塩素系ガス、CF、SFもしくはNFなどを代表とするフッ素系ガス、又はOを適宜用いることができる。本実施の形態では、CF、Cl、Oからなるエッチング用ガスを用いて第2の導電膜のエッチングを行い、連続してCF、Clからなるエッチング用ガスを用いて第1の導電膜をエッチングする。
次に、マスク1116a、マスク1116b、マスク1116c、マスク1116d、及びマスク1116eを用いて、導電層1120a、導電層1120b、導電層1120c、導電層1120d、及び導電層1120eを所望の形状に加工する。このとき、導電層を形成する第2の導電膜と、第1のゲート電極層及び第1の導電層を形成する第1の導電膜との選択比が高いエッチング条件でエッチングする。このエッチングによって、第2のゲート電極層1122a、第2のゲート電極層1122b、第2のゲート電極層1122c、第2のゲート電極層1122d、及び第2の導電層1122eを形成する。本実施の形態では、第2のゲート電極層及び第2の導電層もテーパー形状であるが、そのテーパー角は、第1のゲート電極層及び第1の導電層の有するテーパー角より大きい。なお、テーパー角とは対象物の底面と側面とが作る角度を言うものとする。よって、テーパー角が90度の場合、導電層は底面に対して垂直な側面を有することになる。テーパー角を90度未満とすることにより、積層される膜の被覆性が向上するため、欠陥を低減することが可能となる。なお、本実施の形態では、第2のゲート電極層及び第2の導電層を形成するためのエッチング用ガスとしてCl、SF、Oを用いる。
以上の工程によって、周辺駆動回路領域1180に、ゲート電極層1124a、ゲート電極層1124b、画素領域1182に、ゲート電極層1124c、ゲート電極層1124d、及び導電層1124eを形成することができる(図17(D)参照)。なお、マスク1116a、マスク1116b、マスク1116c、マスク1116d、及びマスク1116eは、上記工程の後に除去する。
次に、ゲート電極層1124a、ゲート電極層1124b、ゲート電極層1124c、ゲート電極層1124dをマスクとして、n型を付与する不純物元素を添加し、第1のn型不純物領域1126a、第1のn型不純物領域1126b、第1のn型不純物領域1128a、第1のn型不純物領域1128b、第1のn型不純物領域1130a、第1のn型不純物領域1130b、第1のn型不純物領域1130cを形成する(図18(A)参照)。本実施の形態では、不純物元素を含むドーピングガスとしてホスフィン(PH)を用いてドーピングを行う。ここでは、第1のn型不純物領域に、n型を付与する不純物元素であるリン(P)が1×1016atoms/cm以上5×1019atoms/cm以下程度の濃度で含まれるようにする。
次に、単結晶半導体層1112、単結晶半導体層1114の一部を覆うマスク1132a、マスク1132b、マスク1132cを形成する。そして、マスク1132a、マスク1132b、マスク1132c、及び第2のゲート電極層1122bをマスクとしてn型を付与する不純物元素を添加する。これにより、第2のn型不純物領域1134a、第2のn型不純物領域1134b、第3のn型不純物領域1136a、第3のn型不純物領域1136b、第2のn型不純物領域1140a、第2のn型不純物領域1140b、第2のn型不純物領域1140c、第3のn型不純物領域1142a、第3のn型不純物領域1142b、第3のn型不純物領域1142c、第3のn型不純物領域1142dが形成される。本実施の形態では、不純物元素を含むドーピングガスとしてホスフィン(PH)を用いてドーピングを行う。ここでは、第2のn型不純物領域にn型を付与する不純物元素であるリン(P)が1×1017atoms/cm以上1×1021atoms/cm以下程度の濃度で含まれるようにする。第3のn型不純物領域1136a、第3のn型不純物領域1136bには、第3のn型不純物領域1142a、第3のn型不純物領域1142b、第3のn型不純物領域1142c、第3のn型不純物領域1142dと同程度、もしくは少し高めの濃度でn型を付与する不純物元素が添加される。また、チャネル形成領域1138、チャネル形成領域1144a及びチャネル形成領域1144bが形成される(図18(B)参照)。
第2のn型不純物領域は高濃度不純物領域であり、ソース又はドレインとして機能する。一方、第3のn型不純物領域は低濃度不純物領域であり、いわゆるLDD(Lightly Doped Drain)領域となる。第3のn型不純物領域1136a、第3のn型不純物領域1136bは、第1のゲート電極層1118bと重なる領域に形成されている。これにより、ソース又はドレイン近傍の電界を緩和して、ホットキャリアによるオン電流の劣化を防止することができる。一方、第3のn型不純物領域1142a、第3のn型不純物領域1142b、第3のn型不純物領域1142c、第3のn型不純物領域1142dはゲート電極層1124c、ゲート電極層1124dと重なっておらず、オフ電流を低減する効果がある。
次に、マスク1132a、マスク1132b、マスク1132cを除去し、単結晶半導体層1113、単結晶半導体層1114を覆うマスク1146a、マスク1146bを形成する。そして、マスク1146a、マスク1146b、ゲート電極層1124aをマスクとしてp型を付与する不純物元素を添加する。これにより、第1のp型不純物領域1148a、第1のp型不純物領域1148b、第2のp型不純物領域1150a、第2のp型不純物領域1150bが形成される。本実施の形態では、不純物元素を含むドーピングガスとしてジボラン(B)を用いてドーピングを行う。ここでは、第1のp型不純物領域、及び第2のp型不純物領域にp型を付与する不純物元素である硼素(B)が1×1018atoms/cm以上5×1021atoms/cm以下程度の濃度で含まれるようにする。また、チャネル形成領域1152が形成される(図18(C)参照)。
第1のp型不純物領域は高濃度不純物領域であり、ソース又はドレインとして機能する。一方、第2のp型不純物領域は低濃度不純物領域であり、いわゆるLDD(LightlyDoped Drain)領域となる。
その後、マスク1146a、マスク1146bを除去する。マスクを除去した後に、ゲート電極層の側面を覆うように絶縁膜を形成してもよい。該絶縁膜は、プラズマCVD法や減圧CVD(LPCVD)法を用いて形成することができる。また、不純物元素を活性化するために、加熱処理、強光の照射、レーザ光の照射等を行ってもよい。
次いで、ゲート電極層、及びゲート絶縁層を覆う層間絶縁層を形成する。本実施の形態では、絶縁膜1154と絶縁膜1156の積層構造とする(図19(A)参照)。絶縁膜1154として窒化酸化シリコン膜を膜厚100nmにて形成し、絶縁膜1156として酸化窒化シリコン膜を膜厚900nmにて形成する。本実施の形態においては、2層の積層構造としたが、単層構造でも良く、3層以上の積層構造としても良い。本実施の形態では、絶縁膜1154及び絶縁膜1156を、プラズマCVD法を用いて、大気に晒さずに連続的に形成する。なお、絶縁膜1154及び絶縁膜1156は上記材料に限定されるものではない。
絶縁膜1154、絶縁膜1156は、他に、酸化シリコンや窒化シリコン、酸化アルミニウム、窒化アルミニウム、酸化窒化アルミニウム、窒素含有量が酸素含有量よりも多い窒化酸化アルミニウムまたは酸化アルミニウム、ダイヤモンドライクカーボン(DLC)、窒素含有炭素膜その他の無機絶縁性材料を含む物質から選ばれた材料を用いて形成することができる。また、シロキサン樹脂を用いてもよい。なお、シロキサン樹脂とは、Si−O−Si結合を含む樹脂をいう。シロキサンは、シリコン(Si)と酸素(O)との結合で骨格構造が構成される。置換基として、少なくとも水素を含む有機基(例えばアルキル基、アリール基)が用いられる。置換基として、フルオロ基を用いてもよい。または置換基として、少なくとも水素を含む有機基と、フルオロ基とを用いてもよい。また、ポリイミド、アクリル、ポリアミド、ポリイミドアミド、ベンゾシクロブテン、ポリシラザン等の有機絶縁性材料を用いることもできる。
次いで、レジスト材料からなるマスクを用いて絶縁膜1154、絶縁膜1156、ゲート絶縁層1108に単結晶半導体層及びゲート電極層に達するコンタクトホール(開口部)を形成する。エッチングは、用いる材料の選択によって、一回で行っても複数回行っても良い。本実施の形態では、酸化窒化シリコン膜である絶縁膜1156と、窒化酸化シリコン膜である絶縁膜1154と選択比が取れる条件で、第1のエッチングを行い、絶縁膜1156を除去する。次に、第2のエッチングによって、絶縁膜1154及びゲート絶縁層1108を除去し、ソース又はドレインに達する開口部を形成する。
その後、開口部を覆うように導電膜を形成し、該導電膜をエッチングする。これにより、各ソース領域又はドレイン領域の一部とそれぞれ電気的に接続するソース電極層又はドレイン電極層1158a、ソース電極層又はドレイン電極層1158b、ソース電極層又はドレイン電極層1160a、ソース電極層又はドレイン電極層1160b、ソース電極層又はドレイン電極層1162a、ソース電極層又はドレイン電極層1162bを形成する。ソース電極層又はドレイン電極層には、アルミニウム、タンタル、チタン、モリブデン、タングステン、ネオジム、クロム、ニッケル、白金、金、銀、銅、マグネシウム、スカンジウム、コバルト、ニッケル、亜鉛、ニオブ、シリコン、リン、硼素、ヒ素、ガリウム、インジウム、錫などから選択された一つ又は複数の元素、または、前記元素を成分として含有する化合物や合金材料(例えば、インジウム錫酸化物(ITO)、インジウム亜鉛酸化物(IZO)、酸化シリコンを添加したインジウム錫酸化物(ITSO)、酸化亜鉛、アルミネオジム(Al−Nd)、マグネシウム銀(Mg−Ag)など)、もしくは、これらの化合物を組み合わせた物質等が用いられる。その他にも、シリサイド(例えば、アルミシリコン、モリブデンシリコン、ニッケルシリサイド)や、窒素を含有する化合物(例えば、窒化チタン、窒化タンタル、窒化モリブデン)、リン(P)等の不純物元素をドーピングしたシリコン(Si)等を用いることもできる。
以上の工程で周辺駆動回路領域1180にpチャネル型薄膜トランジスタ1164、及びnチャネル型薄膜トランジスタ1166を、画素領域1182にnチャネル型薄膜トランジスタ1168、容量配線1170が形成される(図19(B)参照)。
次に第2の層間絶縁層として絶縁膜1172を形成する。絶縁膜1172としては酸化シリコン、窒化シリコン、酸化窒化シリコン、窒化酸化シリコン、酸化アルミニウム、窒化アルミニウム、酸化窒化アルミニウム、窒素含有量が酸素含有量よりも多い窒化酸化アルミニウムまたは酸化アルミニウム、ダイヤモンドライクカーボン(DLC)、窒素含有炭素、PSG(リンガラス)、BPSG(リンボロンガラス)、ポリシラザン、その他の無機絶縁性材料を含む物質から選ばれた材料で形成することができる。また、シロキサン樹脂を用いてもよい。ポリイミド、アクリル、ポリアミド、ポリイミドアミド、ベンゾシクロブテン等の有機絶縁性材料を用いることもできる。
次に、画素領域1182の絶縁膜1172にコンタクトホールを形成し、画素電極層1174を形成する(図19(C)参照)。画素電極層1174は、インジウム錫酸化物(ITO)、酸化インジウムに酸化亜鉛を混合したIZO(indium zinc oxide)、酸化インジウムに酸化シリコンを混合した導電性材料、有機インジウム、有機スズ、酸化タングステンを含むインジウム酸化物、酸化タングステンを含むインジウム亜鉛酸化物、酸化チタンを含むインジウム酸化物、酸化チタンを含むインジウム錫酸化物、又はタングステン、モリブデン、ジルコニウム、ハフニウム、バナジウム、ニオブ、タンタル、クロム、コバルト、ニッケル、チタン、白金、アルミニウム、銅、銀等の金属又はその合金、若しくはその金属窒化物を用いて形成することができる。
また、画素電極層1174としては導電性高分子(導電性ポリマーともいう)を含む導電性組成物を用いることもできる。導電性組成物は、薄膜におけるシート抵抗が10000Ω/sq.以下であることが好ましい。また、光透過性を有する画素電極層として薄膜を形成する場合には、波長550nmにおける透光率が70%以上であることが好ましい。また、含まれる導電性高分子の抵抗率が0.1Ω・cm以下であることが好ましい。
上記の導電性高分子としては、いわゆるπ電子共役系導電性高分子を用いることができる。例えば、ポリアニリン及びその誘導体、ポリピロール及びその誘導体、ポリチオフェン及びその誘導体、又は、これらの共重合体等が挙げられる。
共役系導電性高分子の具体例としては、ポリピロール、ポリ(3−メチルピロール)、ポリ(3−ブチルピロール)、ポリ(3−オクチルピロール)、ポリ(3−デシルピロール)、ポリ(3,4−ジメチルピロール)、ポリ(3,4−ジブチルピロール)、ポリ(3−ヒドロキシピロール)、ポリ(3−メチル−4−ヒドロキシピロール)、ポリ(3−メトキシピロール)、ポリ(3−エトキシピロール)、ポリ(3−オクトキシピロール)、ポリ(3−カルボキシルピロール)、ポリ(3−メチル−4−カルボキシルピロール)、ポリN−メチルピロール、ポリチオフェン、ポリ(3−メチルチオフェン)、ポリ(3−ブチルチオフェン)、ポリ(3−オクチルチオフェン)、ポリ(3−デシルチオフェン)、ポリ(3−ドデシルチオフェン)、ポリ(3−メトキシチオフェン)、ポリ(3−エトキシチオフェン)、ポリ(3−オクトキシチオフェン)、ポリ(3−カルボキシルチオフェン)、ポリ(3−メチル−4−カルボキシルチオフェン)、ポリ(3,4−エチレンジオキシチオフェン)、ポリアニリン、ポリ(2−メチルアニリン)、ポリ(2−オクチルアニリン)、ポリ(2−イソブチルアニリン)、ポリ(3−イソブチルアニリン)、ポリ(2−アニリンスルホン酸)、ポリ(3−アニリンスルホン酸)等が挙げられる。
上記の導電性高分子を、単独で用いても良いし、膜の特性を調整するために有機樹脂を添加して使用しても良い。
さらに、導電性組成物にアクセプタ性のドーパントやドナー性のドーパントをドーピングすることで、共役導電性高分子の共役電子の酸化還元電位を変化させ、電気伝導度を調節してもよい。
上述の如き導電性組成物を水または有機溶剤(アルコール系溶剤、ケトン系溶剤、エステル系溶剤、炭化水素系溶剤、芳香族系溶剤など)に溶解させて、塗布法、コーティング法、液滴吐出法(インクジェット法ともいう)、印刷法等により画素電極層1174となる薄膜を形成することができる。
次に、画素電極層1174及び絶縁膜1172を覆うように、配向膜と呼ばれる絶縁層1402を形成する(図20(B)参照)。絶縁層1402は、スクリーン印刷法やオフセット印刷法を用いて形成することができる。なお、図20は、半導体装置の平面図及び断面図を示しており、図20(A)は半導体装置の平面図、図20(B)は図20(A)のE−Fにおける断面図である。半導体装置には、外部端子接続領域1176、封止領域1178、周辺駆動回路領域1180、画素領域1182が設けられる。
絶縁層1402を形成した後、ラビング処理を行う。配向膜として機能する絶縁層1406についても、絶縁層1402と同様にして形成することができる。
その後、対向基板1400と、絶縁性表面を有する基板1107とを、シール材1414及びスペーサ1416を介して貼り合わせ、その空隙に液晶層1404を設ける。なお、対向基板1400には、配向膜として機能する絶縁層1406、対向電極として機能する導電層1408、カラーフィルターとして機能する着色層1410、偏光子1412(偏光板ともいう)等が設けられている。なお、絶縁性表面を有する基板1107にも偏光子1418(偏光板)を設けるが、本発明はこれに限られない。例えば、反射型の液晶表示装置においては、偏光子は、一方に設ければ良い。
続いて、画素領域と電気的に接続されている端子電極層1420に、異方性導電体層1422を介して、FPC1424を接続する。FPC1424は、外部からの信号を伝達する役目を担う。上記の工程により、液晶表示装置を作製することができる。
本実施の形態においては、実施の形態1に示した方法を用いて作製されたSOI基板を用いて液晶表示装置を作製している。このため、液晶のスイッチングを司る半導体素子(例えば、画素領域におけるトランジスタ)の特性を向上させることができる。また、駆動回路領域の半導体素子の動作速度を向上させることができる。したがって、液晶表示装置の表示特性が大きく向上することになる。また、半導体素子の信頼性が向上するため、液晶表示装置の信頼性も高まることになる。
なお、本実施の形態においては液晶表示装置を作製する方法について説明したが、本発明はこれに限られるものではない。本実施の形態は、他の実施の形態と適宜組み合わせて用いることができる。
(実施の形態6)
本実施の形態では、本発明に係る発光素子を有する半導体装置(エレクトロルミネッセンス表示装置)について説明する。なお、周辺回路領域や画素領域等に用いられるトランジスタの作製方法は、実施の形態5を参照することができるため、詳細については省略する。
なお、発光素子を有する半導体装置には、下面放射、上面放射、両面放射のいずれかの方式が用いられる。本実施の形態では、下面放射方式を用いた半導体装置について、図21を用いて説明するが、本発明はこれに限られるものではない。
図21の半導体装置は、下方(図中の矢印の方向)に光を放射する。ここで、図21(A)は半導体装置の平面図であり、図21(B)は、図21(A)のG−Hにおける断面図である。図21において半導体装置は、外部端子接続領域1530、封止領域1532、駆動回路領域1534、画素領域1536を有している。
図21に示す半導体装置は、素子基板1500、薄膜トランジスタ1550、薄膜トランジスタ1552、薄膜トランジスタ1554、薄膜トランジスタ1556、発光素子1560、絶縁層1568、充填材1570、シール材1572、配線層1574、端子電極層1576、異方性導電層1578、FPC1580、封止基板1590などによって構成されている。なお、発光素子1560は、第1の電極層1562と発光層1564と第2の電極層1566とを含む。
第1の電極層1562としては、発光層1564より放射する光を透過できるように、光透過性を有する導電性材料を用いる。一方、第2の電極層1566としては、発光層1564より放射する光を反射することができる導電性材料を用いる。
第1の電極層1562としては、酸化タングステンを含むインジウム酸化物、酸化タングステンを含むインジウム亜鉛酸化物、酸化チタンを含むインジウム酸化物、酸化チタンを含むインジウム錫酸化物等を用いることができる。勿論、インジウム錫酸化物(ITO)、インジウム亜鉛酸化物(IZO)、酸化ケイ素を添加したインジウム錫酸化物(ITSO)等を用いても良い。
また、第1の電極層1562としては、導電性高分子(導電性ポリマーともいう)を含む導電性組成物を用いることもできる。なお、詳細については実施の形態5を参照することができるため、ここでは省略する。
第2の電極層1566としては、チタン、タングステン、ニッケル、金、白金、銀、銅、タンタル、モリブデン、アルミニウム、マグネシウム、カルシウム、リチウム、およびそれらの合金からなる導電膜などを用いることができる。可視光の領域で反射性が高い物質を用いることがよく、本実施の形態では、アルミニウム膜を用いることとする。
なお、上面放射、両面放射の各方式を用いる場合には、適宜電極層の設計を変更してやれば良い。具体的には、上面放射の場合には、反射性を有する材料を用いて第1の電極層1562を形成し、光透過性を有する材料を用いて第2の電極層1566を形成する。両面放射の場合には、光透過性を有する材料を用いて第1の電極層1562及び第2の電極層1566を形成すれば良い。なお、下面放射、上面放射においては、光透過性を有する材料を用いて一方の電極層を形成し、光透過性を有する材料と光反射性を有する材料の積層構造により、他方の電極層を形成する構成としても良い。電極層に用いることができる材料は下面放射の場合と同様であるため、ここでは省略する。
なお、一般に、光透過性を有さないと考えられる金属のような材料であっても、膜厚を小さく(5nm以上30nm以下程度)することにより、光を透過させることができる。これにより、上述の光反射性材料を用いて、光を透過する電極層を作製することも可能である。
また、素子基板1500にカラーフィルター(着色層)を形成する構成としてもよい。カラーフィルター(着色層)は、蒸着法や液滴吐出法によって形成することができる。また、色変換層を用いる構成であっても良い。
本実施の形態においては、実施の形態1などに示した方法を用いてエレクトロルミネッセンス表示装置を作製している。このため、エレクトロルミネッセンス表示装置の発光を司る半導体素子(例えば、画素領域におけるトランジスタ)の特性を向上させることができる。また、駆動回路領域の半導体素子の動作速度を向上させることができる。したがって、本発明により、エレクトロルミネッセンス表示装置の表示特性が大きく向上することになる。また、半導体素子の信頼性が向上するため、エレクトロルミネッセンス表示装置の信頼性も高まることになる。
なお、本実施の形態ではエレクトロルミネッセンス表示装置を用いて説明したが、本発明はこれに限られるものではない。本実施の形態は、他の実施の形態と適宜組み合わせて用いることができる。
(実施の形態7)
本実施の形態では、本発明に係る半導体装置の別の例について、図22及び図23を参照して説明する。なお、本実施の形態においては、マイクロプロセッサ及び電子タグを例に挙げて説明するが、本発明の半導体装置はこれらに限られるものではない。
図22に、本発明のマイクロプロセッサの構成の一例を示す。図22のマイクロプロセッサ1600は、本発明に係るSOI基板を用いて製造されるものである。該マイクロプロセッサ1600は、演算回路1601(Arithmetic logic unit(ALU))、演算回路制御部1602(ALU Controller)、命令解析部1603(Instruction Decoder)、割り込み制御部1604(Interrupt Controller)、タイミング制御部1605(Timing Controller)、レジスタ1606(Register)、レジスタ制御部1607(Register Controller)、バスインターフェース1608(Bus I/F)、ROM1609(Read Only Memory、読み出し専用メモリ)、及びROMインターフェース1610(ROM I/F)を有している。
バスインターフェース1608を介してマイクロプロセッサ1600に入力された命令は、命令解析部1603に入力され、デコードされた後、演算回路制御部1602、割り込み制御部1604、レジスタ制御部1607、タイミング制御部1605に入力される。演算回路制御部1602、割り込み制御部1604、レジスタ制御部1607、タイミング制御部1605は、デコードされた命令に基づき各種制御を行う。具体的には、演算回路制御部1602は、演算回路1601の動作を制御するための信号を生成する。また、割り込み制御部1604は、マイクロプロセッサ1600のプログラム実行中に、外部の入出力装置や周辺回路からの割り込み要求を、その優先度等から判断して処理する。レジスタ制御部1607は、レジスタ1606のアドレスを生成し、マイクロプロセッサ1600の状態に応じてレジスタ1606の読み出しや書き込みを行う。タイミング制御部1605は、演算回路1601、演算回路制御部1602、命令解析部1603、割り込み制御部1604、レジスタ制御部1607の動作のタイミングを制御する信号を生成する。例えばタイミング制御部1605は、基準クロック信号CLK1を元に、内部クロック信号CLK2を生成する内部クロック生成部を備えており、クロック信号CLK2を上記各種回路に供給する。なお、図22に示すマイクロプロセッサ1600の構成は、あくまで一例であり、その用途によって適宜構成を変更することができる。
本実施の形態においては、実施の形態1などに示したSOI基板を用いてマイクロプロセッサを作製している。これにより、半導体素子の動作速度が向上し、マイクロプロセッサの性能の向上に寄与する。また、半導体素子の信頼性が向上するため、マイクロプロセッサの信頼性も高まることになる。
次に、非接触でデータの送受信を行うことのできる演算機能を備えた半導体装置の一例について図23を参照して説明する。図23は無線通信により外部装置と信号の送受信を行って動作する無線タグの一例である。なお、本発明の無線タグは内部に中央処理装置(CPU)を有しており、いわば小型のコンピュータである。無線タグ1700は、アナログ回路部1701とデジタル回路部1702を有している。アナログ回路部1701として、共振容量を有する共振回路1703、整流回路1704、定電圧回路1705、リセット回路1706、発振回路1707、復調回路1708、変調回路1709を有している。デジタル回路部1702は、RFインターフェース1710、制御レジスタ1711、クロックコントローラ1712、CPUインターフェース1713、CPU1714、RAM1715、ROM1716を有している。
このような構成の無線タグ1700の動作は以下の通りである。アンテナ1717が外部から信号を受けると、共振回路1703は該信号を元に誘導起電力を発生する。整流回路1704を経た誘導起電力により、容量部1718が充電される。この容量部1718はセラミックコンデンサーや電気二重層コンデンサーなどで形成されていることが好ましい。容量部1718は無線タグ1700と一体にて形成されていても良いし、別の部品として無線タグ1700を構成する絶縁表面を有する基板に取り付けられていても良い。
リセット回路1706は、デジタル回路部1702をリセットし初期化する信号を生成する。例えば、電源電圧の上昇のタイミングから遅れて立ち上がる信号をリセット信号として生成する。発振回路1707は、定電圧回路1705により生成される制御信号に応じて、クロック信号の周波数とデューティー比を変更する。ローパスフィルタで形成される復調回路1708は、例えば振幅変調(ASK)方式の受信信号の振幅の変動を二値化する。変調回路1709は、振幅変調(ASK)方式の送信信号の振幅を変動させて送信する。変調回路1709は、共振回路1703の共振点を変化させることにより通信信号の振幅を変化させている。クロックコントローラ1712は、電源電圧又はCPU1714における消費電流に応じてクロック信号の周波数とデューティー比を変更するための制御信号を生成している。電源電圧の監視は電源管理回路1719が行っている。
アンテナ1717から無線タグ1700に入力された信号は復調回路1708で復調された後、RFインターフェース1710で制御コマンドやデータなどに分けられる。制御コマンドは制御レジスタ1711に格納される。制御コマンドには、ROM1716に記憶されているデータの読み出し命令、RAM1715へのデータの書き込み命令、CPU1714への演算命令などが含まれている。CPU1714は、CPUインターフェース1713を介してROM1716、RAM1715、制御レジスタ1711にアクセスする。CPUインターフェース1713は、CPU1714が要求するアドレスより、ROM1716、RAM1715、制御レジスタ1711のいずれかに対するアクセス信号を生成する機能を有している。
CPU1714の演算方式は、ROM1716にOS(オペレーティングシステム)を記憶させておき、起動とともにプログラムを読み出し実行する方式を採用することができる。また、演算回路を構成して、演算処理をハードウェア的に処理する方式を採用することもできる。ハードウェアとソフトウェアを併用する方式では、専用の演算回路で一部の処理を行い、残りの演算を、プログラムを用いてCPU1714が実行する方式を適用することができる。
本実施の形態においては、実施の形態1又は実施の形態2に示したSOI基板を用いて無線タグを作製している。これにより、半導体素子の動作速度が向上し、無線タグの性能の向上に寄与する。また、半導体素子の信頼性が向上するため、無線タグの信頼性も高まることになる。
なお、本実施の形態は、他の実施の形態と適宜組み合わせて用いることができる。
(実施の形態8)
本実施の形態では、本発明の半導体装置、特に表示装置を用いた電子機器について、図24及び図25を参照して説明する。
本発明の半導体装置(特に表示装置)を用いて作製される電子機器として、ビデオカメラ、デジタルカメラ等のカメラ、ゴーグル型ディスプレイ(ヘッドマウントディスプレイ)、ナビゲーションシステム、音響再生装置(カーオーディオコンポ等)、コンピュータ、ゲーム機器、携帯情報端末(モバイルコンピュータ、携帯電話、携帯型ゲーム機または電子書籍等)、記録媒体を備えた画像再生装置(具体的にはDigital Versatile Disc(DVD)等の記録媒体を再生し、その画像を表示しうるディスプレイを備えた装置)などが挙げられる。
図24(A)はテレビ受像器又はパーソナルコンピュータのモニタである。筺体1801、支持台1802、表示部1803、スピーカー部1804、ビデオ入力端子1805等を含む。表示部1803には、本発明の半導体装置が用いられている。本発明により、信頼性が高く高性能なテレビ受像器又はパーソナルコンピュータのモニタを提供することができる。
図24(B)はデジタルカメラである。本体1811の正面部分には受像部1813が設けられており、本体1811の上面部分にはシャッターボタン1816が設けられている。また、本体1811の背面部分には、表示部1812、操作キー1814、及び外部接続ポート1815が設けられている。表示部1812には、本発明の半導体装置が用いられている。本発明により、信頼性が高く高性能なデジタルカメラを提供することができる。
図24(C)はノート型パーソナルコンピュータである。本体1821には、キーボード1824、外部接続ポート1825、ポインティングデバイス1826が設けられている。また、本体1821には、表示部1823を有する筐体1822が取り付けられている。表示部1823には、本発明の半導体装置が用いられている。本発明により、信頼性が高く高性能なノート型パーソナルコンピュータを提供することができる。
図24(D)はモバイルコンピュータであり、本体1831、表示部1832、スイッチ1833、操作キー1834、赤外線ポート1835等を含む。表示部1832にはアクティブマトリクス表示装置が設けられている。表示部1832には、本発明の半導体装置が用いられている。本発明により、信頼性が高く高性能なモバイルコンピュータを提供することができる。
図24(E)は画像再生装置である。本体1841には、表示部B1844、記録媒体読み込み部1845及び操作キー1846が設けられている。また、本体1841には、スピーカー部1847及び表示部A1843それぞれを有する筐体1842が取り付けられている。表示部A1843及び表示部B1844それぞれには、本発明の半導体装置が用いられている。本発明により、信頼性が高く高性能な画像再生装置を提供することができる。
図24(F)は電子書籍である。本体1851には操作キー1853が設けられている。また、本体1851には複数の表示部1852が取り付けられている。表示部1852には、本発明の半導体装置が用いられている。本発明により、信頼性が高く高性能な電子書籍を提供することができる。
図24(G)はビデオカメラであり、本体1861には外部接続ポート1864、リモコン受信部1865、受像部1866、バッテリー1867、音声入力部1868、操作キー1869が設けられている、また、本体1861には、表示部1862を有する筐体1863が取り付けられている。表示部1862には、本発明の半導体装置が用いられている。本発明により、信頼性が高く高性能なビデオカメラを提供することができる。
図24(H)は携帯電話であり、本体1871、筐体1872、表示部1873、音声入力部1874、音声出力部1875、操作キー1876、外部接続ポート1877、アンテナ1878等を含む。表示部1873には、本発明の半導体装置が用いられている。本発明により、信頼性が高く高性能な携帯電話を提供することができる。
図25は、電話としての機能と、情報端末としての機能を併せ持った携帯電子機器1900の構成の一例である。ここで、図25(A)は正面図、図25(B)は背面図、図25(C)は展開図である。携帯電子機器1900は、電話と情報端末の双方の機能を備えており、音声通話以外にも様々なデータ処理が可能な、いわゆるスマートフォンと呼ばれる電子機器である。
携帯電子機器1900は、筐体1901及び筐体1902で構成されている。筐体1901は、表示部1911、スピーカー1912、マイクロフォン1913、操作キー1914、ポインティングデバイス1915、カメラ用レンズ1916、外部接続端子1917等を備え、筐体1902は、キーボード1921、外部メモリスロット1922、カメラ用レンズ1923、ライト1924、イヤフォン端子1925等を備えている。また、アンテナは筐体1901内部に内蔵されている。上記構成に加えて、非接触ICチップ、小型記録装置等を内蔵していてもよい。
表示部1911には、本発明の半導体装置が組み込まれている。なお、表示部1911に表示される映像(及びその表示方向)は、携帯電子機器1900の使用形態に応じて様々に変化する。また、表示部1911と同一面にカメラ用レンズ1916を備えているため、映像を伴う音声通話(いわゆるテレビ電話)が可能である。なお、スピーカー1912及びマイクロフォン1913は音声通話に限らず、録音、再生等に用いることが可能である。カメラ用レンズ1923(及び、ライト1924)を用いて静止画及び動画の撮影を行う場合には、表示部1911はファインダーとして用いられることになる。操作キー1914は、電話の発信・着信、電子メール等の簡単な情報入力、画面のスクロール、カーソル移動等に用いられる。
重なり合った筐体1901と筐体1902(図25(A))は、スライドし、図25(C)のように展開し、情報端末として使用できる。この場合には、キーボード1921、ポインティングデバイス1915を用いた円滑な操作が可能である。外部接続端子1917はACアダプタやUSBケーブル等の各種ケーブルと接続可能であり、充電やコンピュータ等とのデータ通信を可能にしている。また、外部メモリスロット1922に記録媒体を挿入し、より大容量のデータの保存及び移動に対応できる。上記機能に加えて、赤外線などの電磁波を用いた無線通信機能や、テレビ受信機能等を有していても良い。本発明により、信頼性が高く高性能な携帯電子機器を提供することができる。
以上の様に、本発明の適用範囲は極めて広く、あらゆる分野の電子機器に用いることが可能である。なお、本実施の形態は、他の実施の形態と適宜組み合わせて用いることができる。
(実施の形態9)
本実施の形態では、本発明の半導体装置、特に無線タグの用途について、図26を参照して説明する。
本発明により無線タグとして機能する半導体装置を形成することができる。無線タグの用途は多岐にわたるが、例えば、紙幣、硬貨、有価証券類、無記名債券類、証書類(運転免許証や住民票等、図26(A)参照)、包装用容器類(包装紙やボトル等、図26(C)参照)、記録媒体(DVDソフトやビデオテープ等、図26(B)参照)、乗物類(自転車等、図26(D)参照)、身の回り品(鞄や眼鏡等)、食品類、植物類、衣類、生活用品類、電子機器等の商品や荷物の荷札(図26(E)、(F)参照)等の物品に設けて使用することができる。なお、図26において、無線タグは2000で示すものである。
なお、電子機器とは、例えば、液晶表示装置、EL表示装置、テレビジョン装置(単にテレビ、テレビ受像機、テレビジョン受像機とも呼ぶ)、携帯電話の他、実施の形態8にて示した物品等を指す。また、上記半導体装置を、動物類、人体等に用いることができる。
無線タグは、物品の表面に貼ったり、物品に埋め込んだりして、物品に固定される。例えば、本であれば紙に埋め込み、有機樹脂からなる包装用容器等であれば当該有機樹脂に埋め込むとよい。紙幣、硬貨、有価証券類、無記名債券類、証書類等にRFIDタグを設けることにより、偽造を防止することができる。また、包装用容器類、記録媒体、身の回り品、食品類、衣類、生活用品類、電子機器等にRFIDタグを設けることにより、検品システムやレンタル店のシステムなどの効率化を図ることができる。本発明により作製することが可能な無線タグは、安価ながらも高い信頼性を有しており、さまざまな物品に対して適用することができる。
本発明により形成することが可能な無線タグを、物の管理や流通のシステムに応用することで、システムの高機能化を図ることができる。例えば、荷札に設けられるRFIDタグに記録された情報を、ベルトコンベアの脇に設けられたリーダライタで読み取ることで、流通過程及び配達先等の情報が読み出され、商品の検品や荷物の分配を容易に行うことができる。
以上の様に、本発明の適用範囲は極めて広く、あらゆる物品に対して用いることが可能である。なお、本実施の形態は、他の実施の形態と適宜組み合わせて用いることができる。
以上説明してきたように、本発明によれば、基板相互が張り合わされ、接着部に気泡などが存在せず、接着強度が高い良好な張り合わせ基板を得ることができる。
本発明に係るSOI基板の製造方法を説明する図。 イオンの質量分析結果を示す図である。 イオンの質量分析結果を示す図である。 本発明に係るSOI基板の製造方法を説明する図。 単結晶半導体基板を支持基板に載置する装置の一構成例を示す概念図。 本発明に係るSOI基板の製造方法を説明する図。 支持基板に接着された単結晶半導体層を押圧する装置の一構成例を示す図。 本発明に係るSOI基板の製造方法を説明する図。 本発明に係るSOI基板の製造方法を説明する図。 本発明に係るSOI基板の製造方法を説明する図。 本発明に係るSOI基板を説明する図。 本発明に係るSOI基板の製造方法を説明する図。 本発明に係るSOI基板の製造方法を説明する図。 本発明に係るSOI基板の製造方法を説明する図。 本発明が適用される半導体装置の作製方法を説明する図。 本発明が適用される半導体装置の作製方法を説明する図。 本発明が適用される半導体装置の作製方法を説明する図。 本発明が適用される半導体装置の作製方法を説明する図。 本発明が適用される半導体装置の作製方法を説明する図。 本発明が適用される半導体装置の作製方法を説明する図。 本発明が適用される半導体装置を説明する図。 本発明が適用される半導体装置を説明する図。 本発明が適用される半導体装置を説明する図。 本発明が適用される電子機器を説明する図。 本発明が適用される電子機器を説明する図。 本発明の電子機器の用途を説明する図。 水素イオン種のエネルギーダイアグラムについて示す図である。 加速電圧を80kVとした場合の水素原子の深さ方向のプロファイル(実測値及び計算値)を示す図である。 加速電圧を80kVとした場合の水素原子の深さ方向のプロファイル(実測値、計算値、及びフィッティング関数)を示す図である。 加速電圧を60kVとした場合の水素原子の深さ方向のプロファイル(実測値、計算値、及びフィッティング関数)を示す図である。 加速電圧を40kVとした場合の水素原子の深さ方向のプロファイル(実測値、計算値、及びフィッティング関数)を示す図である。 フィッティングパラメータの比(水素原子比及び水素イオン種比)をまとめた図である。
符号の説明
101 単結晶半導体基板
102 絶縁層
102a 酸化窒化シリコン層
102b 窒化酸化シリコン層
103 損傷領域
104 絶縁層
105 イオンビーム
106 レーザビーム
107 支持基板
108 単結晶半導体層
109 単結晶半導体層
110 単結晶半導体層
111 単結晶半導体層
113 矢印
114 部分
116 端部
117 接着界面
118 マスク
119 凸部
120 半導体基板
121 SOI基板
122 SOI基板
123 単結晶半導体層
124 微小な異物
125a 単結晶半導体層
125b 単結晶半導体層
125c 単結晶半導体層
205 単結晶半導体層
206 単結晶半導体層
207 ゲート絶縁層
211 マスク
214 マスク
216a 側壁絶縁層
216b 側壁絶縁層
216c 側壁絶縁層
216d 側壁絶縁層
218 マスク
223 マスク
228 絶縁層
231 薄膜トランジスタ
232 薄膜トランジスタ
233a ゲート絶縁層
233b ゲート絶縁層
301 基板ステージ
302 ヒーター
303 移載器
308 加圧器
309 ヒーター
310 押ピン
700 チャンバー
701 ダイヤフラム
702a 給気バルブ
702b 排気バルブ
702c 排気バルブ
702d 給気バルブ
703 ヒーター
704 加圧室
705 試料室
706 クッション
1102 絶縁層
1104 絶縁層
1108 ゲート絶縁層
1111 単結晶半導体層
1112 単結晶半導体層
1113 単結晶半導体層
1114 単結晶半導体層
1116a マスク
1116b マスク
1116c マスク
1116d マスク
1116e マスク
1132a マスク
1132b マスク
1132c マスク
1146a マスク
1146b マスク
1164 薄膜トランジスタ
1166 薄膜トランジスタ
1168 薄膜トランジスタ
1550 薄膜トランジスタ
1552 薄膜トランジスタ
1554 薄膜トランジスタ
1556 薄膜トランジスタ
1402 絶縁層
1406 絶縁層
1568 絶縁層

Claims (6)

  1. ソースガスを励起してプラズマを生成し、前記プラズマに含まれるイオン種を単結晶半導体基板の一方の面から添加して、前記単結晶半導体基板に損傷領域を形成し、
    前記単結晶半導体基板の一方の面上に絶縁層を形成し、
    前記絶縁層を間に挟んで前記単結晶半導体基板と向かい合うように支持基板を密着させ、
    前記単結晶半導体基板を加熱することにより、前記損傷領域において、単結晶半導体層が接着された前記支持基板と単結晶半導体基板とに分離し、
    前記支持基板に接着された前記単結晶半導体層を押圧することを特徴とするSOI基板の作製方法。
  2. ソースガスを励起してプラズマを生成し、前記プラズマに含まれるイオン種を単結晶半導体基板の一方の面から添加して、前記単結晶半導体基板に損傷領域を形成し、
    前記単結晶半導体基板の一方の面上に絶縁層を形成し、
    前記絶縁層を間に挟んで前記単結晶半導体基板と向かい合うように支持基板を密着させ、
    前記単結晶半導体基板を加熱することにより、前記損傷領域において、単結晶半導体層が接着された前記支持基板と単結晶半導体基板とを分離し、
    前記単結晶半導体層に対して選択的にエッチングを行うことにより、島状の単結晶半導体層を複数形成し、
    前記複数の島状の単結晶半導体層を押圧することを特徴とするSOI基板の作製方法。
  3. ソースガスを励起してプラズマを生成し、前記プラズマに含まれるイオン種を単結晶半導体基板の一方の面から添加して、前記単結晶半導体基板に損傷領域を形成し、
    前記単結晶半導体基板の一方の面に絶縁層を形成し、
    前記絶縁層が形成された前記単結晶半導体基板に対して選択的にエッチングを行い、前記絶縁層及び前記単結晶半導体基板に前記損傷領域よりも深い開口部を設け、
    前記絶縁層を間に挟んで前記単結晶半導体基板と向き合うように支持基板を密着させ、
    前記単結晶半導体基板を加熱することにより、前記損傷領域において、複数の単結晶半導体層が接着された前記支持基板と単結晶半導体基板とを分離し、
    前記支持基板に接着された前記複数の単結晶半導体層を押圧することを特徴とするSOI基板の作製方法。
  4. 請求項1乃至請求項3のいずれか一において、
    前記絶縁層は、シリコンソースガスに有機シランガスを用いて化学気相成長法により形成された酸化シリコン膜であることを特徴とするSOI基板の作製方法。
  5. 請求項4において、
    前記有機シランガスは、珪酸エチル、テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ヘキサメチルジシラザン、トリエトキシシラン、トリスジメチルアミノシランから選ばれたガスであることを特徴とするSOI基板の作製方法。
  6. 請求項1乃至請求項5のいずれか一において、
    前記絶縁層の膜厚は、500nm乃至1000nmであることを特徴とするSOI基板の製造方法。
JP2008300996A 2007-12-03 2008-11-26 Soi基板の作製方法 Expired - Fee Related JP5464843B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008300996A JP5464843B2 (ja) 2007-12-03 2008-11-26 Soi基板の作製方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007312898 2007-12-03
JP2007312898 2007-12-03
JP2008300996A JP5464843B2 (ja) 2007-12-03 2008-11-26 Soi基板の作製方法

Publications (3)

Publication Number Publication Date
JP2009158937A true JP2009158937A (ja) 2009-07-16
JP2009158937A5 JP2009158937A5 (ja) 2011-11-04
JP5464843B2 JP5464843B2 (ja) 2014-04-09

Family

ID=40676163

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008300996A Expired - Fee Related JP5464843B2 (ja) 2007-12-03 2008-11-26 Soi基板の作製方法

Country Status (2)

Country Link
US (1) US8211780B2 (ja)
JP (1) JP5464843B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110025109A (ko) * 2009-09-02 2011-03-09 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판의 제작 방법 및 반도체 장치의 제작 방법
JP2011171725A (ja) * 2010-01-19 2011-09-01 Akita Prefecture 基板の接合方法および基板接合装置

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8361890B2 (en) 2009-07-28 2013-01-29 Gigasi Solar, Inc. Systems, methods and materials including crystallization of substrates via sub-melt laser anneal, as well as products produced by such processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011066485A2 (en) * 2009-11-25 2011-06-03 Gigasi Solar, Inc. Systems, methods and products including features of laser irradiation and/or cleaving of silicon with other substrates or layers
KR101055473B1 (ko) * 2009-12-15 2011-08-08 삼성전기주식회사 기판 제조용 캐리어 부재 및 이를 이용한 기판의 제조방법
US20120037925A1 (en) * 2010-08-10 2012-02-16 Sheen Calvin W Engineered Substrate for Light Emitting Diodes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN103700699A (zh) * 2013-12-19 2014-04-02 深圳市华星光电技术有限公司 一种栅金属结构及其制造方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR20160053001A (ko) * 2014-10-30 2016-05-13 삼성디스플레이 주식회사 투명 표시 기판, 투명 표시 장치 및 투명 표시 장치의 제조 방법
KR102300403B1 (ko) * 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102389622B1 (ko) * 2015-09-17 2022-04-25 삼성디스플레이 주식회사 투명 표시 장치 및 투명 표시 장치의 제조 방법
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1145862A (ja) * 1997-07-24 1999-02-16 Denso Corp 半導体基板の製造方法
JP2000077287A (ja) * 1998-08-26 2000-03-14 Nissin Electric Co Ltd 結晶薄膜基板の製造方法
JP2000331899A (ja) * 1999-05-21 2000-11-30 Shin Etsu Handotai Co Ltd Soiウェーハの製造方法およびsoiウェーハ
JP2001313382A (ja) * 2000-04-28 2001-11-09 Kansai Tlo Kk Soiウエハ製造方法
JP2003249631A (ja) * 2002-02-25 2003-09-05 Sony Corp 半導体基板の製造方法および半導体基板ならびに半導体装置
JP2004134675A (ja) * 2002-10-11 2004-04-30 Sharp Corp Soi基板、表示装置およびsoi基板の製造方法
JP2004311526A (ja) * 2003-04-02 2004-11-04 Sumitomo Mitsubishi Silicon Corp 半導体基板およびその製造方法
JP2005203596A (ja) * 2004-01-16 2005-07-28 Seiko Epson Corp 電気光学装置の製造方法、電気光学装置および電子機器

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2681472B1 (fr) * 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
JP3237888B2 (ja) 1992-01-31 2001-12-10 キヤノン株式会社 半導体基体及びその作製方法
FR2748851B1 (fr) 1996-05-15 1998-08-07 Commissariat Energie Atomique Procede de realisation d'une couche mince de materiau semiconducteur
JP4103968B2 (ja) * 1996-09-18 2008-06-18 株式会社半導体エネルギー研究所 絶縁ゲイト型半導体装置
SG65697A1 (en) * 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
US6534380B1 (en) * 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
US6388652B1 (en) * 1997-08-20 2002-05-14 Semiconductor Energy Laboratory Co., Ltd. Electrooptical device
US6686623B2 (en) * 1997-11-18 2004-02-03 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile memory and electronic apparatus
JP2000012864A (ja) 1998-06-22 2000-01-14 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US6271101B1 (en) * 1998-07-29 2001-08-07 Semiconductor Energy Laboratory Co., Ltd. Process for production of SOI substrate and process for production of semiconductor device
JP4476390B2 (ja) * 1998-09-04 2010-06-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2000124092A (ja) 1998-10-16 2000-04-28 Shin Etsu Handotai Co Ltd 水素イオン注入剥離法によってsoiウエーハを製造する方法およびこの方法で製造されたsoiウエーハ
FR2797347B1 (fr) 1999-08-04 2001-11-23 Commissariat Energie Atomique Procede de transfert d'une couche mince comportant une etape de surfragililisation
JP3942770B2 (ja) 1999-09-22 2007-07-11 株式会社半導体エネルギー研究所 El表示装置及び電子装置
EP2085181A1 (en) * 2000-07-31 2009-08-05 Ebara Corporation Substrate holding apparatus and substrate polishing apparatus
US6608761B2 (en) * 2000-12-29 2003-08-19 Intel Corporation Multiple processor cards accessing common peripherals via transparent and non-transparent bridges
JP4803884B2 (ja) 2001-01-31 2011-10-26 キヤノン株式会社 薄膜半導体装置の製造方法
TW548860B (en) * 2001-06-20 2003-08-21 Semiconductor Energy Lab Light emitting device and method of manufacturing the same
US7508034B2 (en) 2002-09-25 2009-03-24 Sharp Kabushiki Kaisha Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
EP1528594B1 (en) * 2003-10-28 2019-05-29 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US6992025B2 (en) * 2004-01-12 2006-01-31 Sharp Laboratories Of America, Inc. Strained silicon on insulator from film transfer and relaxation by hydrogen implantation
JP2006049800A (ja) 2004-03-10 2006-02-16 Seiko Epson Corp 薄膜デバイスの供給体、薄膜デバイスの供給体の製造方法、転写方法、半導体装置の製造方法及び電子機器
US7179719B2 (en) 2004-09-28 2007-02-20 Sharp Laboratories Of America, Inc. System and method for hydrogen exfoliation
JP5113999B2 (ja) 2004-09-28 2013-01-09 シャープ株式会社 水素イオン注入剥離方法
US7148124B1 (en) * 2004-11-18 2006-12-12 Alexander Yuri Usenko Method for forming a fragile layer inside of a single crystalline substrate preferably for making silicon-on-insulator wafers
KR100601976B1 (ko) 2004-12-08 2006-07-18 삼성전자주식회사 스트레인 실리콘 온 인슐레이터 구조체 및 그 제조방법
EP1975998A3 (en) 2007-03-26 2013-12-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a plurality of island-shaped SOI structures
KR101447048B1 (ko) 2007-04-20 2014-10-06 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판 및 반도체장치의 제조방법
US7635617B2 (en) * 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
EP1993127B1 (en) * 2007-05-18 2013-04-24 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of SOI substrate
US7763502B2 (en) * 2007-06-22 2010-07-27 Semiconductor Energy Laboratory Co., Ltd Semiconductor substrate, method for manufacturing semiconductor substrate, semiconductor device, and electronic device
EP2009687B1 (en) * 2007-06-29 2016-08-17 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing an SOI substrate and method of manufacturing a semiconductor device
US7799658B2 (en) * 2007-10-10 2010-09-21 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
JP5286046B2 (ja) * 2007-11-30 2013-09-11 株式会社半導体エネルギー研究所 光電変換装置の製造方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1145862A (ja) * 1997-07-24 1999-02-16 Denso Corp 半導体基板の製造方法
JP2000077287A (ja) * 1998-08-26 2000-03-14 Nissin Electric Co Ltd 結晶薄膜基板の製造方法
JP2000331899A (ja) * 1999-05-21 2000-11-30 Shin Etsu Handotai Co Ltd Soiウェーハの製造方法およびsoiウェーハ
JP2001313382A (ja) * 2000-04-28 2001-11-09 Kansai Tlo Kk Soiウエハ製造方法
JP2003249631A (ja) * 2002-02-25 2003-09-05 Sony Corp 半導体基板の製造方法および半導体基板ならびに半導体装置
JP2004134675A (ja) * 2002-10-11 2004-04-30 Sharp Corp Soi基板、表示装置およびsoi基板の製造方法
JP2004311526A (ja) * 2003-04-02 2004-11-04 Sumitomo Mitsubishi Silicon Corp 半導体基板およびその製造方法
JP2005203596A (ja) * 2004-01-16 2005-07-28 Seiko Epson Corp 電気光学装置の製造方法、電気光学装置および電子機器

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110025109A (ko) * 2009-09-02 2011-03-09 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판의 제작 방법 및 반도체 장치의 제작 방법
JP2011077505A (ja) * 2009-09-02 2011-04-14 Semiconductor Energy Lab Co Ltd Soi基板の作製方法及び半導体装置の作製方法
KR101691387B1 (ko) * 2009-09-02 2017-01-02 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판의 제작 방법 및 반도체 장치의 제작 방법
JP2011171725A (ja) * 2010-01-19 2011-09-01 Akita Prefecture 基板の接合方法および基板接合装置

Also Published As

Publication number Publication date
US20090142905A1 (en) 2009-06-04
JP5464843B2 (ja) 2014-04-09
US8211780B2 (en) 2012-07-03

Similar Documents

Publication Publication Date Title
JP5464843B2 (ja) Soi基板の作製方法
JP5469851B2 (ja) 半導体装置の作製方法
JP5548356B2 (ja) 半導体装置の作製方法
JP5490393B2 (ja) 半導体基板の製造方法
JP5981512B2 (ja) 半導体装置
US8435871B2 (en) Method for manufacturing semiconductor device, and semiconductor device and electronic device
US7851332B2 (en) Semiconductor device and method for manufacturing the same
JP5527956B2 (ja) 半導体基板の製造方法
JP5250228B2 (ja) 半導体装置の作製方法
JP5619474B2 (ja) Soi基板の作製方法
JP5548351B2 (ja) 半導体装置の作製方法
KR20090037312A (ko) 반도체 장치의 제작 방법
JP5424620B2 (ja) 半導体基板製造方法及び半導体基板製造装置
JP2009135430A (ja) 半導体装置の作製方法
JP2009135468A (ja) 半導体基板およびその作製方法、ならびに半導体装置の作製方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110915

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130820

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130923

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140114

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140121

R150 Certificate of patent or registration of utility model

Ref document number: 5464843

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees