JP2009004796A - 低アーク放電性、円筒形ガスアウトレット及び成形表面を有するプラズマリアクタ・オーバヘッド・ソースパワー電極 - Google Patents

低アーク放電性、円筒形ガスアウトレット及び成形表面を有するプラズマリアクタ・オーバヘッド・ソースパワー電極 Download PDF

Info

Publication number
JP2009004796A
JP2009004796A JP2008183112A JP2008183112A JP2009004796A JP 2009004796 A JP2009004796 A JP 2009004796A JP 2008183112 A JP2008183112 A JP 2008183112A JP 2008183112 A JP2008183112 A JP 2008183112A JP 2009004796 A JP2009004796 A JP 2009004796A
Authority
JP
Japan
Prior art keywords
electrode
plasma
gas
pressure
orifice
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008183112A
Other languages
English (en)
Other versions
JP2009004796A5 (ja
Inventor
Douglas A Buchberger Jr
エー. ブッシュバーガー ジュニア. ダグラス
Daniel J Hoffman
ジェイ. ホフマン ダニエル
Olga Regelman
レジェルマン オルガ
James Carducci
カルダッチ ジェイムス
Keiji Horioka
啓治 堀岡
Jang Gyoo Yang
グヨー ヤン ジャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009004796A publication Critical patent/JP2009004796A/ja
Publication of JP2009004796A5 publication Critical patent/JP2009004796A5/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C5/00Pavings made of prefabricated single units
    • E01C5/22Pavings made of prefabricated single units made of units composed of a mixture of materials covered by two or more of groups E01C5/008, E01C5/02 - E01C5/20 except embedded reinforcing materials
    • E01C5/226Pavings made of prefabricated single units made of units composed of a mixture of materials covered by two or more of groups E01C5/008, E01C5/02 - E01C5/20 except embedded reinforcing materials having an upper layer of rubber, with or without inserts of other materials; with rubber inserts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C15/00Pavings specially adapted for footpaths, sidewalks or cycle tracks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Architecture (AREA)
  • Civil Engineering (AREA)
  • Structural Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】平行平板型プラズマリアクタにおけるシャワーーヘッド内のオリフィスでアーク放電を抑制する装置を提供する。
【解決手段】プラズマリアクタのオーバーヘッドガス分布電極3210は、該リアクタの処理ゾーンに面する底部面を有する。該電極は、プロセスガスを受け入れるガス供給マニホールドと、該電極3210に対して軸方向に延びる複数の圧力低下円筒形オリフィスとを含む。該電極内の径方向ガス分布マニホールド3220は、該電極の全域で径方向に延びている。複数の軸方向に延びるガスフロー流路は、該複数の圧力低下オリフィス3220のそれぞれの対向端部を該径方向ガス分布マニホールド3220に結合する。複数の高コンダクタンスの円筒形ガスアウトレット穴は、該電極3210のプラズマに面する底部面に形成されており、該径方向ガス分布マニホールドに対して軸方向に延びている。
【選択図】図32

Description

発明者
Douglas A.Buchberger,Jr.,Daniel J.Hoffman,Olga Regelman,James Carducci,Keiji Horioka及びJang Gyoo Yangによる
関連出願の相互参照
[01]この出願は、現在、米国特許第6,528,751号として発行されている、2000年3月17日に出願された、Daniel Hoffmanらによる「PLASMA REACTOR WITH OVERHEAD RF ELECTRODE TUNED TO THE PLASMA」というタイトルの米国特許出願第09/527,342号の一部継続出願である、2001年12月19日に出願された、Daniel Hoffmanらによる「PLASMA REACTOR WITH OVERHEAD RF ELECTRODE TUNED TO THE PLASMA」というタイトルの米国特許出願第10/028,922号の一部継続出願である、2004年1月8日に出願された、Daniel J.Hoffmanらによる「PLASMA REACTOR WITH OVERHEAD RF SOURCE POWER ELECTRODE WITH LOW LOSS,LOW ARCING TENDENCY AND LOW CONTAMINATION」というタイトルの米国特許出願第10/754,280号の一部継続出願であり、これら全ては、本譲受人に譲渡されている。
[02]多数の小さなガス・インレット・オリフィスを有するオーバーヘッド・ガス・シャワーヘッドは、プラズマ・ソースパワーを容量結合する電極として用いた場合に、プラズマアーク放電を引き起こすことがある。プラズマは、該電極のガス・アウトレット・オリフィスの多くの中に入って、各オリフィス内でアーク放電する傾向がある。該アーク放電は、該電極からの金属原子を溶融又はスパッタして、プラズマ中に汚染物質を生じ、それにより、該プラズマプロセス(例えば、半導体被加工物に対して実行されるプラズマ反応性イオンエッチングプロセス)を失敗させる。また、そのようなアーク放電は、異なるオリフィスを広げて、それにより、該電極表面におけるガスフロー分布をゆがめることにより、該電極にダメージを与える。最終的に、該電極が金属であり、かつ半導体保護層で被覆されている場合には、そのようなアーク放電は、更に、該半導体保護層と該金属製電極との間にある接合剤に破壊作用を及ぼすことにより、該電極にダメージを与え、又は、汚染物質を生成する。プラズマの該ガス・アウトレット・オリフィス内への移動を防ぐために、非常に狭いガス・アウトレット・オリフィス径を用いたが、このことは、実際には、該アーク放電問題を悪化させた。これは、該オリフィスの狭小化によって生じたより大きな圧力が、アーク放電を増進したためである。また、そのような狭いオリフィスは、洗浄するのが困難であるため、該プラズマからの残渣物(例えば、ポリマー)が、該ガス・アウトレット・オリフィス内に蓄積した。
[03]オーバーヘッド・ソースパワー電極/ガス・シャワーヘッド内でのアーク放電を回避するという発明者等の努力は、該オーバーヘッド電極内の該ガス・アウトレット・オリフィスを狭い環として構成するというコンセプトに至り、これは、上記参照文献として引用した親出願に開示されている。該ガス圧力は、径方向に伸びる極端に狭い内部圧力低下オリフィスにより、該ガス・アウトレット・オリフィス上で良好に低下した。各環状ガス・アウトレット・オリフィスの(該電極の平面内における)弓形又は周囲長は、該オリフィス内のガスフローコンダクタンスを強め、これにより、該電極の表面に存在する高電界内のガス圧力が最小化された。この特徴は、該オリフィス内のガスがアーク放電する傾向を低減した。各環状オリフィスの狭い幅は、該狭い圧力低下オリフィスが配置されている、該ガス・アウトレット・オリフィスの底部の近傍で、該電極の上方領域から離れた高電界を制限するように、軸方向の高さの関数として、該オリフィス内で該電界が低下するレートを増加させた。この特徴は、該電極の該上方領域近傍の電界を最小化し、該領域においては、該ガス圧力は、非常に高い圧力から非常に低い圧力へ低下し、それに伴って、アーク放電を良好に抑制するため、同じ位置における高いガス圧力と高い電界の同時発生が回避される。
[04]このような環状のガス・アウトレット・オリフィスは、製造するのに複雑なマッチングを要し、また、湾曲した形態に容易に適合しない。そのため、そのようなガス分布電極は、余分な製造コストを回避するために、平坦な形状に本質的に制限される。このことは、特に、半導体保護層が、該電極の底部表面を被覆しており、該金属電極内及び該半導体保護層内での相互に位置合わせされた環状ガス・アウトレット・オリフィスの形成を要する場合に当てはまる。
[05]発明者等は、図1〜図30に開示したタイプのリアクタ内において、プラズマイオン密度分布を、ウェハ周辺部では一般的な低プラズマ密度及び低エッチングレートで、中心部をわずかに高くすることができることを見出した。ある場合には、ウェハ縁部におけるプラズマイオン密度は、該ウェハの中心における該プラズマイオン密度の55%以下になる可能性がある。該エッチングレートは、該ウェハ中心と比較して、該ウェハ縁部において同様に低くなる。プラズマの均一性を改善すると共に、上述した低アーク放電性の利点を維持することが可能なオーバーヘッド・ガス分布ソースパワー電極が必要である。中心部の高いプラズマイオン密度分布を補正する1つの方法は、該電極面を、ドーム形状、又はマルチ放射状のドーム形状等のアーチ形状に構成することである。しかしながら、アーク放電する傾向が低い電極(すなわち、上述した環状形状のガス・アウトレット・オリフィス)を製造に要するマッチング・ステップの複雑性は、アーチ形状、少なくとも、かなりの曲率を有する形状を実現することができない。例えば、300mm程度の径の電極においては、中心から縁部まで数ミリ以上の偏差を有する曲率を設けるのは、コスト効率的に良くはないであろう。このような小さな曲率は、プラズマイオン密度の非均一性における55%の偏差を補正する又は著しく改善するのに不適当であり得る。問題は、アーク放電が、該ガス・アウトレット・オリフィス内で発生する傾向を増加させることなく、該電極にかなりの曲率をどのように設けるかである。
発明の概要
[06]プラズマリアクタの天井の少なくとも一部を形成するオーバーヘッド・ガス分布電極は、該リアクタの処理ゾーンに面する底部面を有する。該電極は、該電極の頂部において、供給圧力でプロセスガスを受け入れるガス供給マニホールドと、各オリフィスの一端部で、該電極に対して、該ガス供給マニホールドから軸方向に伸びる複数の圧力低下円筒形オリフィスとを含む。該電極内の放射状のガス分布マニホールドは、該電極の全域で放射状に伸びている。複数の軸方向に伸びる高コンダクタンスのガス流路は、該複数の圧力低下オリフィスのそれぞれの対向端部を該放射状のガス分布マニホールドに結合する。複数の高コンダクタンスの円筒形ガス・アウトレット穴は、該電極のプラズマに面する底部面内に形成されており、該放射状のガス分布マニホールドに対して軸方向に伸びている。
[07]上記底部面は、平面、あるいは、湾曲面又は階段状面のいずれかである非平面とすることができ、また、中心を高くすることができる。該非平面状の底部面は、中心部と縁部とで、該電極の径の約20%〜100%の高さの違いを有することができる。
[08]保護層は、上記底部面を被覆することができ、また、プロセスに影響を及ぼさない材料物質で形成することができ、上記ガス・アウトレット穴は、該保護層を貫通して続いている。該保護層は、例えば、シリコン又は炭化ケイ素等の半導体含有材料物質とすることができる。
発明の詳細な説明
[47]図1について説明すると、プラズマリアクタは、半導体ウェハ110を支持する、リアクタチャンバの底部にウェハ支持体105を有するリアクタチャンバ100を含む。半導体リング115は、ウェハ110を囲んでいる。半導体リング115は、絶縁(石英)リング120によって、接地されたチャンバボディ127上に支持されている。一実施形態において、これは、10mmの厚さ及び誘電率4からなる。チャンバ100は、絶縁(石英)シールにより、接地されたチャンバボディ127上のウェハ110の上に、所定のギャップ長で支持されたディスク状オーバーヘッド・アルミニウム電極によって、該頂部で境界が形成されている。また、オーバーヘッド電極125は、その内面を半金属材料物質(例えば、Si又はSiC)で被覆することができる金属(例えば、アルミニウム)であってもよいし、半金属材料物質そのものであってもよい。高周波電源150は、高周波電力を電極125に印加する。高周波電源150からの高周波電力は、高周波電源にマッチングした同軸ケーブル162を介して、電極125に接続された同軸突出部135に結合される。突出部135は、特性インピーダンス、共振周波数を有し、また、以下により完全に説明するように、電極125と、50オームの同軸ケーブル162又は高周波電源150の50オーム出力とのインピーダンスマッチングを提供できる。上記チャンバボディは、高周波電源150のRFリターン(RF接地)に接続されている。オーバーヘッド電極125からRF接地までのRF経路は、半導体リング115、絶縁リング120及び絶縁シール130の影響を受ける。ウェハ支持体105、ウェハ110及び半導体リング115は、電極125に印加された高周波電力のための一次RFリターン経路を設ける。
[48]RFリターン又は接地に関して測定した電極125、絶縁リング120及び絶縁シール130を含むオーバーヘッド電極アセンブリ126のキャパシタンスは、180ピコファラッドになる。該電極アセンブリのキャパシタンスは、順に、該電極の面積、該ギャップ長(ウェハ支持体とオーバーヘッド電極との間の距離)、及び、漂遊キャパシタンスに影響を及ぼす要因、特に、シール130及び絶縁リング120の誘電率値の影響を受け、これらの値も、使用する材料の誘電率及び厚さの影響を受ける。より一般的には、該電極アセンブリのキャパシタンス(符号なし又はスカラー)は、以下に論じるように、特に、ソースパワー周波数、プラズマ密度及び作動圧力において、大きさが、該プラズマの負のキャパシタンス(複素数)と等しいか、又は、ほぼ等しい。
[49]上記の関係に影響を与える多くの要因は、該要因、該ウェハのサイズ、及び、該ウェハ上で、該処理が均一に実行される必要条件によって実行される必要がある該プラズマプロセスの必要条件の実態により、大部分が予め決まっている。したがって、該プラズマキャパシタンスは、プラズマ密度及びソースパワー周波数の関数であり、一方、該電極のキャパシタンスは、ウェハ支持体と電極との間のギャップ(高さ)、電極の径、及び、上記アセンブリの絶縁体の誘電率値の関数である。プラズマ密度、作動圧力、ギャップ及び電極の径は、該リアクタによって実行されるべきプラズマプロセスの必要条件を満たさなければならない。特に、イオン密度は、一定の範囲内でなければならない。例えば、シリコン又は誘電プラズマエッチングプロセスは、一般に、10B1012イオン/ccの範囲内のプラズマイオン密度を要する。例えば、該ウェハ・電極間のギャップが約1.25〜約2.0インチである場合には、該ギャップは、8インチウェハの場合、最適なプラズマイオン分布均一性を提供できる。300mm径のウェハの場合、最適なギャップサイズは、約1.25インチである。該電極の径は、該ウェハの径よりも大きくない限り、少なくともできる限り大きくすることができる。作動圧力は、同様に、典型的なエッチング及び他のプラズマプロセスに対して、実用的な範囲を有する。
[50]しかしながら、上記の関係を達成するために選択することができる他の要因、特に、ソース周波数の選択及びオーバーヘッド電極アセンブリ126のためのキャパシタンスの選択が残っていることが見出されている。ソースパワー周波数が、VHF周波数になるように選択される場合、及び電極アセンブリ126の絶縁構成要素の誘電率が正しく選択される場合、該電極に課せられた上記の寸法上の制約及び該プラズマに課せられた制約(例えば、密度の範囲)の範囲内で、該電極のキャパシタンスを、該プラズマの負のキャパシタンスの大きさにマッチングさせることができる。このような選択は、ソースパワー周波数とプラズマ電極の共振周波数との間のマッチング又はほぼマッチングを達成することができる。
[51]したがって、一態様において、8インチウェハの場合、上記オーバーヘッド電極の径は、おおよそ11インチであり、上記ギャップは、約2インチであり、上記プラズマ密度及び作動圧力は、上述したようなエッチングプロセスに対して典型的なものであり、シール130のための絶縁材料物質は、誘電率が9であり、厚さが1インチ程度であり、リング115は、10インチよりもわずかに大きい内径と、約13インチの外径とを有し、リング120は、誘電率4と、10mm程度の厚さとを有し、VHFソースパワー周波数は、(他のVHF周波数は、等しく有効にすることができるが)210MHzであり、ソースパワー周波数、プラズマ電極共振周波数及び上記突出部の共振周波数は、全て、マッチング又はほぼマッチングすることができる。300mm径のウェハの場合、最適なソースパワー周波数は、プラズマ電極共振周波数及び該突出部の共振周波数をマッチングした、あるいは、162MHzからわずかにオフセットした状態で、162MHzである。
[52]より具体的には、これら3つの周波数は、互いにわずかにオフセットすることができ、ソースパワー周波数が(300mmウェハに対して最適化した)162MHzの場合、該システムのQを有利に低減するデチューニング効果を達成するため、電極プラズマ共振周波数は、162MHzよりわずかに下であり、該突出部の共振周波数は、162MHzよりわずかに上である。このようなシステムのQの低減は、上記リアクタのパフォーマンスを、上記チャンバ内の状態の変化の影響を受けにくくするため、プロセス全体は、かなりより安定的になり、かなり広いプロセスウィンドウに関して実行することができる。
[53]同軸突出部135は、システム全体の安定性、その広いプロセスウィンドウ性能及び他の多くの有用な利点に対して更に貢献する特別に構成されたデザインである。該突出部は、内側円筒形コンダクタ140と、外側同心円筒形コンダクタ145とを含む。例えば、相対誘電率1を有する(図1にクロスハッチングで示す)絶縁体147は、内側コンダクタ140と外側コンダクタ145との間の空間を満たしている。内側コンダクタ140及び外側コンダクタ145は、ニッケル被覆アルミニウムで形成されている。外側コンダクタ145は、約4インチの径を有することができ、内側コンダクタ140は、約1.5インチの径を有することができる。上記突出部の特性インピーダンスは、内側コンダクタ140及び外側コンダクタ145の半径と、絶縁体147の誘電率とで決まる。一実施形態の突出部135は、30オームの特性インピーダンスを有する(この場合、VHFソースパワー周波数は、300mmウェハ径の場合、162MHzである)。より一般的には、該突出部の特性インピーダンスは、該ソースパワー出力インピーダンスを、約20%〜40%だけ、及び、約30%だけ上回る。突出部135は、162MHzのVHFソースパワー周波数(以上の)からわずかなオフセットを有するため、162MHzよりわずかに高い(例えば、170MHz近く)4分の1波長に対応する軸方向長さを有する。
[54]タップ160は、以下に論じるように、高周波電源150からの高周波電力を突出部135に印加するために、突出部135の軸方向長さに沿った特定のポイントに設けられている。高周波電源150の高周波電力端子150b及びRFリターン端子150aは、突出部135のタップ160において、それぞれ、内側同軸突出部コンダクタ140及び外側同軸突出部コンダクタ145に接続されている。これらの接続は、周知のやり方で、高周波電源150の出力インピーダンス(典型的には、50オーム)にマッチングする特性インピーダンスを有する高周波電源・突出部間同軸ケーブル162を介して作られる。コンダクタ165を、突出部135の遠端部135aに終端させることは、内側コンダクタ140と外側コンダクタ145とを短絡させるため、突出部135は、その終端部135aで短絡されている。突出部135の近端部(短絡されていない端部)135bにおいて、外側コンダクタ145は、環状導電性ハウジング又は支持体175を介して上記チャンバボディに接続されており、一方、内側コンダクタ140は、導電性シリンダ又は支持体176を介して電極125の中心部に接続されている。絶縁リング180は、導電性シリンダ176と電極125との間に保持されており、該シリンダと該電極とを分離する。
[55]内側コンダクタ140は、プロセスガスや冷却剤等の有用物のための導管を設けることができる。この特徴の第一の利点は、典型的なプラズマリアクタとは違って、ガスライン170及び冷却剤ライン173が、大きな電位差をクロスしないということである。そのため、これらのラインは、そのような目的のための金属、高価ではないより信頼性のある材料物質で構成することができる。金属製ガスライン170は、オーバーヘッド電極125内の、又は該電極に隣接するガスインレット172にガスを供給し、一方、金属製冷却剤ライン173は、オーバーヘッド電極125内の冷却剤流路又はジャケット174に冷却剤を供給する。
[56]それにより、能動インピーダンスと共振インピーダンスの変換は、高周波電源150とオーバーヘッド電極アセンブリ126との間の、この特別に構成された突出部のマッチングによって提供でき、また、プラズマ負荷を処理すること、反射電力を最小化すること及び非常に幅広いインピーダンスマッチングスペースを提供することは、負荷インピーダンスの広い変化に適応する。その結果として、これまでは得ることのできなかった電力使用効率と共に、広いプロセスウィンドウ及びプロセスの柔軟性を提供できると共に、典型的なインピーダンスマッチング装置の必要性が最小化又は回避される。上述したように、上記突出部の共振周波数も、システム全体のQ、システムの安定性、及びプロセスウィンドウ及びマルチプロセス機能を更に高めるために、実際のマッチングからオフセットしている。
電極・プラズマ共振周波数とVHFソースパワー周波数のマッチング
[57]上の概略のように、第一の特徴は、上記電極・プラズマ間の共振周波数におけるプラズマとの共振のために、及び、上記ソースパワー周波数と該電極・プラズマ周波数とのマッチング(又は、ほぼマッチング)のために、オーバーヘッド電極アセンブリ126を構成することである。電極アセンブリ126は、主に容量性リアクタンスを有し、一方、プラズマリアクタンスは、周波数、プラズマ密度及び他のパラメータの複素関数である。(以下に、更に詳細に説明するように、プラズマは、虚数項を含む複素関数であり、かつ一般に、負のキャパシタンスに対応するリアクタンスに関して解析される。)電極・プラズマ共振周波数は、(キャパシタのリアクタンスと、インダクタのリアクタンスとで決まるキャパシタ/インダクタ共振回路の共振周波数と同様に)電極アセンブリ126のリアクタンスと、プラズマのリアクタンスとで決まる。したがって、電極・プラズマ共振周波数は、プラズマ密度次第では、必ずしもソースパワー周波数でなくてもよい。そのため、問題は、プラズマ密度及び電極の寸法の特定の範囲に対する実用的な制限の制約を考慮して、プラズマリアクタンスが、電極・プラズマ共振周波数がソースパワー周波数に等しく又は、ほぼ等しくなるようになるソースパワー周波数を見出すことである。該問題は、(プラズマリアクタンスに影響を及ぼす)プラズマ密度と、(電極キャパシタンスに影響を及ぼす)電極の寸法とが、一定のプロセス制約を満たさなければならないため、更に困難である。具体的には、絶縁及び金属プラズマエッチングプロセスの場合、プラズマ密度は、10〜1012イオン/ccの範囲内になければならず、これは、プラズマリアクタンスに対する制約である。また、例えば、300mm径のウェハを処理するためのより均一なプラズマイオン密度分布は、約1.25インチのウェハ・電極間のギャップ又は高さと、電極キャパシタンスに対する制約である、該ウェハの径程度又はそれ以上の電極径とによって実現される。他方、異なるギャップ長を、更に大きなウェハを処理するのに用いてもよい。
[58]したがって、上記実施形態の1つの特徴においては、電極キャパシタンスを、プラズマの負のキャパシタンスの大きさにマッチング(又は、ほぼマッチング)することにより、電極・プラズマ共振周波数とソースパワー周波数とは、少なくともほぼマッチングさる。上記列挙した一般的な金属及び絶縁エッチングプロセス条件(すなわち、10〜1012イオン/cc間のプラズマ密度、2インチギャップ及びおよそ11インチ程度の電極径)の場合、該ソースパワー周波数がVHF周波数であれば、該マッチングは可能である。他の条件(例えば、異なるウェハ径、異なるプラズマ密度等)は、上記リアクタに関するこの特徴を実行する際に、このようなマッチングを実現するために、異なる周波数範囲を規定してもよい。以下に、詳細に説明するように、絶縁及び金属プラズマエッチング及び化学気相堆積を含むいくつかの主な用途において、8インチのウェハを処理する好都合なプラズマ処理条件の下で、上記したプラズマ密度を有する典型的な一実施例におけるプラズマキャパシタンスは、−50〜B400ピコファラッドであった。210MHzのソースパワー周波数を用いる実用的な実施形態においては、オーバーヘッド電極アセンブリ126のキャパシタンスは、11インチの電極径、おおよそ2インチのギャップ長(電極・ペデスタル間の間隔、誘電率9及び1インチ程度の厚さを有するシール130のための絶縁材料物質の選択、及び、誘電率4及び10mm程度の厚さを有するリング120のための絶縁材料物質の選択を用いることにより、この負のプラズマキャパシタンスの大きさにマッチングした。300mmウェハの場合、ソースパワー周波数は、約162MHzとすることができる。
[59]たった今説明したように、電極アセンブリ126とプラズマキャパシタンスとがマッチングしていると仮定すれば、該アセンブリとプラズマの組合せは、電極125に印加したソースパワー周波数に少なくともほぼマッチングする電極・プラズマ共振周波数で共振する。発明者等は、好都合なエッチングプラズマ処理レシピ、環境及びプラズマの場合、この電極・プラズマ共振周波数及び該ソースパワー周波数は、VHF周波数でマッチング又はほぼマッチングすることができること、及び、そのような周波数マッチング又はほぼマッチングを実施することが非常に有利であることを発見した。上記の実施形態において、プラズマの負のキャパシタンスの上記の値に対応する該電極・プラズマ共振周波数は、162MHzよりもわずかに下にすることができる。該ソースパワー周波数は、162MHzであり、以下に論じる他の利点を実現するため、該ソースパワー周波数が、該電極・プラズマ共振周波数よりもわずかに上にオフセットしているほぼマッチングである。該ソースパワー周波数が210MHzである場合には、該プラズマ共振周波数は、200MHzとすることができ、また、上記突出部の共振周波数は、220MHzとすることができる。
[60]上記プラズマキャパシタンスは、とりわけ、プラズマ電子密度の関数である。これは、ほぼ10〜1012イオン/ccの範囲内に保つべき良好なプラズマ処理条件を提供するために必要なプラズマイオン密度に関連する。この密度は、ソースパワー周波数及び他のパラメータと共に、該プラズマの負のキャパシタンスを決めるため、該キャパシタンスの選択は、以下に更に詳細に説明するように、プラズマ処理条件を最適化する必要性により束縛される。しかしながら、上記オーバーヘッド電極アセンブリのキャパシタンスは、多くの物理的要因、例えば、ギャップ長(電極125と上記ウェハとの間の間隔)、電極125の面積、電極125と接地されたチャンバボディ127との間の絶縁シール130の誘電率の選択、半導体リング115と該チャンバボディとの間の絶縁リング120の誘電率の選択、及び、シール130及びリング120からなる絶縁構造体の厚さ及びリング180の厚さ及び誘電率によって影響を及ぼされる。このことは、上記オーバーヘッド電極のキャパシタンスに影響を及ぼすこれら及び他の物理的要因の間でなされた選択を介して、該電極アセンブリのキャパシタンスのいくつかの調整を可能にする。発明者等は、この調整の範囲が、該オーバーヘッド電極アセンブリのキャパシタンスと、該負のプラズマキャパシタンスの大きさとの必要な程度のマッチングを達成するのに十分であることを見出した。具体的には、シール130及びリング120のための絶縁材料物質及び寸法は、所望の誘電率及びその結果として生じる誘電率値を提供できるように選択される。その結果、該電極のキャパシタンスと該プラズマキャパシタンスとのマッチングは、電極のキャパシタンスに影響を与える同じ物理的要因のうちのいくつか、特に、ギャップ長が、次の実用性、すなわち、より大径のウェハを取り扱う必要性、該ウェハの全径に対してプラズマイオン密度の分布の良好な均一性でそれを行う必要性、及び、イオン密度対イオンエネルギの良好な制御を有する必要性により、規定又は制限されるという事実にもかかわらず、達成することができる。
[61]したがって、上記したプラズマエッチングプロセスに好都合なプラズマイオン密度の範囲の場合、及び8インチウェハを処理するのに適したチャンバ寸法の場合、11インチの電極径、おおよそ2インチのギャップ長、及び誘電率9を有するシール130のための材料物質及び誘電率4を有するリング120のための材料を用いることにより、−50〜B400ピコファラッドのプラズマキャパシタンスにマッチングした電極アセンブリ126のキャパシタンスが達成された。
[62]上記プラズマキャパシタンス及びマッチングオーバーヘッド電極のキャパシタンスに対する上記の範囲を考慮すると、上記電極・プラズマ共振周波数は、210MHzのソースパワー周波数に対して、おおよそ200MHzであった。上記の値は、162MHzのソースパワー周波数を用いて、300mmウェハのためのパフォーマンスを最適化するように調整することができる。
[63]このようにして電極アセンブリ126のキャパシタンスを選択すること、及び、上記結果として生じる電極・プラズマ共振周波数とソースパワー周波数とをマッチングすることの大きな利点は、該ソースパワー周波数近傍での該電極とプラズマとの共振が、より幅広いインピーダンスマッチング及びより幅広いプロセスウィンドウ、及び、その結果として、プロセス条件の変化に対するかなり大きな耐性、及びその結果のより大きなパフォーマンスの安定性を提供することである。上記処理システム全体は、作動条件の変動、例えば、プラズマのインピーダンスのシフトに対してあまり敏感でなくなり、またそのため、広範囲のプロセス適応性と共に、より大きな信頼性が得られる。本明細書で後述するように、該電極・プラズマ共振周波数とソースパワー周波数との間の小さなオフセットにより、利点は更に強められる。
何故、プラズマは、負のキャパシタンスを有するか
[64]プラズマキャパシタンスは、プラズマの電気的誘電率εによって支配され、これは、複素数であり、次式に従った自由空間の電気的誘電率ε、プラズマ電子周波数Tpe、ソースパワー周波数T及び電子中性子衝突頻度0enの関数である。
ε=ε[1−Tpe /(T(T+i0en))]ただし、i=(−1)1/2
(プラズマ電子周波数Tpeは、該プラズマ電子密度の単純な関数であり、プラズマ処理に関する周知の出版物で定義されている。)
[65]一実施例において、中性種はアルゴンであり、該プラズマ電子周波数は、約162MHzよりもわずかに下であり、RFソースパワー周波数は、該プラズマ密度が10〜1012cc−1になるように、十分な高周波電力を印加して、チャンバ圧力が、5mT〜1000mTの範囲内にあるように、約162MHzであった。プラズマエッチングプロセスに有利な条件の典型であるこれらの条件の下で、該プラズマは、上記の式により定義されるその有効な電気的誘電率が負であるため、一般に、負のキャパシタンスを有する。これらの条件の下で、該プラズマは、−50〜B400ピコファラッドの負のキャパシタンスを有した。そこで、上記のことをより一般的な用語で判断すると、プラズマ電子密度(及びソースパワー周波数及び電子中性子衝突頻度)の関数としてのプラズマキャパシタンスは、一般に、ある所望の範囲に対して、絶縁エッチング、金属エッチング及びCVD等の鍵となる用途に対する好都合なプラズマプロセスの現実性によって制限される傾向があり、また、VHFソースパワー周波数において、負の値を有する傾向があることを本発明者等は見つけた。プラズマのこれらの特性を利用することにより、該電極のキャパシタンスマッチング及び上記リアクタの周波数マッチング特徴が、これまでは可能ではなかった、プロセスウィンドウの性能及び柔軟性、及び動作の安定性を達成する。
突出部135によって提供されるインピーダンス変換
[66]突出部135は、高周波電源150の50オームの出力ピンピーダンスと、電極アセンブリ126と、上記チャンバ内のプラズマとの組合せにより与えられる負荷インピーダンスとのインピーダンス変換を提供できる。このようなインピーダンスマッチングの場合、該高周波電源と該突出部との接続部において、及び、該突出部と電極との接続部において、高周波電力の反射は、少なくなければならず、あるいは、あってはならない(少なくとも、高周波電源150のVSWRの限界値を超える反射はない)。このことがどのようになされるかを次に説明する。
[67]高周波電源150の所望のVHF周波数において、及び、プラズマエッチングプロセスにとって好都合なプラズマ密度及びチャンバ圧力(すなわち、それぞれ、10B1012イオン/cm及び5mT B 1000mT)において、該プラズマ自体のインピーダンスは、約(0.3+(i)7)オームであり、この場合、0.3は、該プラズマのインピーダンスi=(−1)1/2の実数部分であり、また、7は、該プラズマのインピーダンスの虚数部分である。上記電極・プラズマの組合せにより与えられる負荷インピーダンスは、このプラズマのインピーダンス及び電極アセンブリ126のキャパシタンスの関数である。上述したように、電極アセンブリ126のキャパシタンスは、300mmウェハに対して、約162MHzで、又は、約162MHzよりわずかに低い、電極・プラズマ共振周波数を用いて、電極アセンブリ126と該プラズマとの共振を達成するように選択される。該突出部と該電極との境界における高周波電力の反射は、該突出部及び該電極が一緒に少なくともほぼ共振するように、突出部135の共振周波数が、該電極・プラズマ共振周波数に、あるいは、該共振周波数近傍に設定されているため、最小化され、又は、回避される。
[68]同時に、上記高周波電源と突出部との境界における高周波電力の反射は、突出部135の軸方向長さに沿った、タップ160の位置が、タップ160において、突出部135における定常波電圧と定常波電流との比が、高周波電源150の出力インピーダンス又はケーブル162の特性インピーダンス(共に、約50オーム)に近くなるようになっているため、最小化され、又は、回避される。タップ160をどのように配置して、このことを達成するかを、次に論じる。
突出部のタップ160の軸方向の配置
[69]突出部135の軸方向の長さは、上述したように、上記電極・プラズマ共振周波数の近傍である、「突出部」周波数(例えば、162MHzのわずかに上)の4分の1波長の倍数とすることができる。一実施形態において、この倍数は、上記同軸突出部の長さが、該「突出部」周波数の約半波長となるように、2である。
[70]タップ160は、突出部135の長さに沿った特定の軸方向位置にある。この位置において、高周波電源150の出力周波数におけるRF信号の定常波電圧と定常波電流との振幅の比は、高周波電源150の出力インピーダンスにマッチングする入力インピーダンス(例えば、50オーム)に対応する。このことは、図2A及び図2Bに図示されており、突出部135における電圧及び電流定常波は、それぞれ、短絡した外部突出部端部135aにおいて、ゼロ及びピークを有する。タップ160の所望の位置は、該短絡した端部から内側の距離Aにあり、そこでは、該定常波電圧と定常波電流との比は、50オームに対応する。この位置は、当業者が、該定常波の比が50オームであるところを経験的に判断することにより、容易に見出す。上記高周波電源の出力インピーダンス(50オーム)に対するマッチングを提供できる、タップ160の距離又は位置Aは、この明細書において後述するように、突出部135の特性インピーダンスの関数である。タップ160が、距離Aに正確に配置されると、該高周波電源が、3:1の電圧定常波比(VSWR)を超える一定の供給電力を維持することができる典型的な種類である場合、該インピーダンスマッチングスペースは、負荷インピーダンスの実数部の9:1の変化に適応する。
[71]該インピーダンスマッチングスペースは、該負荷インピーダンスの実数部のほぼ60:1の変化に適応するように、大幅に拡大することができる。この目覚しい結果は、タップ160を、位置Aの正確に50オームの箇所から、同軸突出部135の短絡した外部端部135aの方へわずかにシフトすることにより達成される。このずれは、例えば、波長の5%(すなわち、30オームの特性インピーダンスに対して、162MHzにおいて約7.5インチ)とすることができる。図3及び図4を参照して、以下に説明するように、このわずかにシフトしたタップ位置において、タップ160における高周波電流の貢献が、上記突出部における電流に対して減じられ又は加算され、そのことがプラズマ負荷インピーダンスの変動を補正するのに更に適切になることが、発明者等の発見である。この補正は、負荷インピーダンスの実数部における9:1のスイングに適応するものから60:1のスイングへマッチングスペースを増加させるのに十分である。
[72]この作用は、上記タップの箇所が位置Aから離れた場合、電極・プラズマ負荷インピーダンスとのインピーダンスのミスマッチにより敏感になるという、突出部135における定常波電流の位相の傾向によるものであると思われる。上述したように、電極アセンブリ126は、公称作動条件の下で、プラズマの負のキャパシタンスにマッチングされている。このキャパシタンスは、VHFソースパワー周波数において、B50〜B400ピコファラッドである。このキャパシタンスにおいて、該プラズマは、(.3 +i7)オームのプラズマインピーダンスを示す。したがって、.3オームは、該システムが、それに対して調整されているプラズマインピーダンスの実数部である。プラズマの状態が変動すると、該プラズマキャパシタンス及びインピーダンスは、それらの公称値から離れて変動する。該プラズマキャパシタンスが、電極125がマッチングされている値から変動すると、該電極・プラズマ間の共振の位相が変化し、突出部135における電流の位相に影響を及ぼす。該突出部の定常波電流の位相がこのようにシフトした場合、タップ160に供給される高周波電源電流は、該位相シフトの方向により、該突出部の定常波電流に加えられるか、又は、該定常波電流から減じられることになる。タップ160の、50オームの位置Aからのずれは、波長の何十分の一(例えば、5%)に限定される。
[73]図3は、プラズマインピーダンスの実数部が、プラズマの変動により増加した場合の、突出部135における定常波電流を図示する。図3において、該電流定常波の振幅は、突出部135に沿った軸方向位置の関数としてプロットされている。水平軸上の位置0.1における定常波電流の不連続性は、タップ160の位置に対応する。図3のグラフにおいては、プラズマインピーダンスの実数部が高く、すなわち、該システムがそれに対して調整されている公称プラズマインピーダンス(すなわち、該電極キャパシタンスは、その値で、負のプラズマキャパシタンスにマッチングする)より高いため、インピーダンスのミスマッチが生じる。この場合、タップ160における電流は、突出部135における定常波電流から減じられる。この減算は、図3のグラフにおいて、不連続性又はゼロを引き起こし、供給された電力を低減して、増加した負荷をオフセットする。これは、高い負荷(R)により、供給された電力(IR)の対応する増加を回避する。
[74]図4は、プラズマインピーダンスの実数部が減少した場合の突出部135における定常波電流を図示する。図4において、該電流定常波の振幅は、突出部135に沿った軸方向位置の関数としてプロットされている。位置0.1における該定常波電流の振幅における不連続性は、タップ160の位置をマークする。図4のグラフにおいて、プラズマインピーダンスの実数部は低く、すなわち、該システムがそれに対して調整されている公称プラズマインピーダンスより低い。この場合、タップ160における電流は、突出部135における定常波電流に加えられる。この加算は、該減少した負荷Rにより、供給される電力IRの同時に生ずる減少を避けるために、供給される電力を増加させて、該減少した負荷をオフセットする。このような補正の場合、マッチングスペースを著しく増加させるように、負荷インピーダンスの大幅な変化に適応することができる。
[75]該負荷インピーダンスの実数部における60:1のスイングに適応する該マッチングスペースのこの拡大は、上記リアクタのプロセスウィンドウ及び信頼性を高める。これは、作動条件が、特定のプロセス又はアプリケーションの間にシフトした場合、あるいは、該リアクタが、異なるアプリケーションのための異なる作動レシピによって作動される場合、該プラズマインピーダンスが変化するため、特に、該インピーダンスの実数部が変化するためである。従来技術においては、そのような変化は、該システムに用いられる従来のマッチング回路の範囲を容易に超える可能性があったため、実用性のあるプロセスをサポートするために、もはや供給される電力を十分に制御することができず、該プロセスが失敗する可能性があった。本リアクタにおいては、供給される電力が、それを超えて所望のレベルで維持することができる負荷インピーダンスの実数部の範囲は、以前はプロセスの失敗につながっているであろうプラズマインピーダンスの変化が、該リアクタのこの態様を用いるリアクタに対して、ほとんど影響がなく、又は、影響が全くないように、増加している。したがって、該リアクタは、特定のプロセス又はアプリケーションの間の作動条件の変化に強く抵抗することができる。別法として、該リアクタを、幅広いプロセス条件、著しい利点を含む、異なる多くのアプリケーションで使用することが可能である。
[76]更なる利点として、この広がったインピーダンスマッチングを提供できる同軸突出部135は、従来のインピーダンスマッチング装置には特有のものであった、可変キャパシタ/サーボ又は可変周波数/サーボ等の「可動部」を伴わない単純な受動デバイスである。したがって、該突出部は、差し替えるインピーダンスマッチング装置よりも安価で、はるかに信頼性がある。
動作のデチューニング及びプロセスウィンドウを広げるための共振周波数
[77]別の態様によれば、該システムのQは、上記突出部の共振周波数、上記電極プラズマ共振周波数及びプラズマソースパワー周波数を互いにわずかにオフセットすることにより、該プロセスウィンドウを広げるように低減される。上述したように、該突出部の共振周波数は、突出部135の軸方向長さが半波長である周波数であり、また、電極・プラズマ共振周波数は、電極アセンブリ126及びプラズマが共に共振する周波数である。一実施形態において、突出部135は、300mmウェハの場合、その共振周波数が、162MHzよりもわずかに上となる長さにカットされ、ソースパワー高周波電源150は、162MHzで作動するように選択され、結果として生じる電極プラズマ共振周波数は、約162MHzよりもわずかに低かった。
[78]プラズマの共振、突出部の共振及びソースパワー周波数に対して、3つ全てに同じ周波数ではなく、3つのこのような異なる周波数を選択することにより、上記システムは、多少「デチューニング」されている。そのため、該システムは、より低い「Q」を有する。より高いVHFソースパワー周波数を用いると、(エッチングに都合のよい作動条件の下で、上記電極及びプラズマキャパシタンスとのマッチングを容易にするのに加えて)Qも比例して減少させる。
[79]システムのQを減少させると、上記システムのインピーダンスマッチングスペースが広がり、そのため、そのパフォーマンスは、プラズマの状態の変化又は製作公差からの逸脱に対してさほど影響を受けない。例えば、該電極・プラズマ間の共振は、プラズマの状態の変動により変動する可能性がある。より小さなQの場合、(この明細書において既に説明したように)インピーダンスマッチングに必要な突出部135と該電極・プラズマの組合せとの間の共振の変化は、該プラズマ電極間の共振の所定の変化に対して、より少ない。その結果として、プラズマ状態の変動は、該インピーダンスマッチングにあまり影響されない。具体的には、プラズマ作用条件の所定の逸脱は、高周波電源150の出力において、VSWRのより小さな増加をもたらす。したがって、上記リアクタは、幅広い領域のプラズマプロセス条件(圧力、ソースパワーレベル、ソースパワー周波数、プラズマ密度等)で作動することができる。また、製作公差は、コストを節約するために緩和してもよく、また、著しい利点として、同じモデルデザインのリアクタ間で、より均一な性能が達成される。関連する利点は、同じリアクタは、金属エッチング、絶縁エッチング及び/又は化学気相堆積等の異なるプロセスレシピ及び異なるアプリケーションを実施するのに有用となる十分に広いプロセスウィンドウを有してもよいということである。
プロセスウィンドウを広げる為に突出部の特性インピーダンスを最小化すること
[80]調整スペースを広げる、あるいは、該システムのQを減少させる別の選択は、突出部135の特性インピーダンスを減少させることである。しかしながら、該突出部の特性インピーダンスは、適当なマッチングスペースを在続させるために、上記高周波電源の出力インピーダンスを超えることができる。そのため、該システムのQは、突出部135の特性インピーダンスが、その量だけ信号発生器150の出力インピーダンスを超える量を低減する限度まで低減することができる。
[81]同軸突出部135の特性インピーダンスは、内側及び外側コンダクタ140、145の半径、及び、該コンダクタ間の絶縁体147の誘電率の関数である。該突出部の特性インピーダンスは、プラズマ電源150の出力インピーダンスと、電極135における入力インピーダンスとの間で必要なインピーダンス変換を提供できるように選択される。この特性インピーダンスは、最小特性インピーダンスと最大特性インピーダンスとの間にある。突出部135の特性インピーダンスを変化させると、図2の波形が変化するため、タップ160の所望の位置(すなわち、突出部135の遠端部からのずれA)が変化する。突出部135の許容最小特性インピーダンスは、上記定常波電流と定常波電圧との間で50オーム比を見るために、タップ160を、電極125の向かい側の、同軸突出部135の遠端部135aに配置しなければならなくなるように、図2の距離Aがゼロになる値である。突出部135の許容最小特性インピーダンスは、上記定常波電流と定常波電圧との間で50オーム比を見るために、タップ160を、電極125に近接して、同軸突出部135の近端部135bに近づくことになるように、図2の距離Aが、突出部135の長さに等しくなる値である。
[82]最初の実施形態において、上記同軸突出部の特性インピーダンスは、適切なマッチングスペースを提供できるように、高周波電源150の出力インピーダンスよりも(約30%)大きくなるように選択されていた。該突出部のインピーダンスは、インピーダンスマッチング条件が、
gen=a[Zstub /rplasma
を満たすように、タップ箇所160の位置を選択することにより達成されるため、該高周波電源の出力インピーダンスを超えなければならない。ただし、aは、該タップ箇所の位置によって決まり、0と1の間で変化する。(数aは、遠端部135bとタップ160との間の、突出部135の何十分の一のインダクタンスと、突出部135全体のインダクタンスとの比に対応する。)aは、1を超えることができないので、該突出部の特性インピーダンスは、上記の等式の解を見出すために、上記高周波電源の出力インピーダンスを超えなければならない。しかしながら、上記システムのQは、該突出部の特性インピーダンスに直接的に比例するので、該突出部の特性インピーダンスが、該高周波電源の出力インピーダンスを超える量は、該Qをできる限り低く保つように、いくらか最小化することができる。例示的な実施形態において、該突出部の特性インピーダンスは、約15オームだけ該高周波電源の出力インピーダンスを超える。
[83]しかしながら、他の実施形態においては、上記同軸突出部の特性インピーダンスは、インピーダンスマッチングのある程度の低減を伴って、より大きな電力効率を達成するために、上記プラズマ電源(高周波電源)の出力インピーダンスよりも小さくなるように選択してもよい。
突出部のインピーダンス変換によって提供できる増加した電力効率
[84]先に論じたように、この明細書において、プラズマエッチングプロセスに好都合なプラズマ作用条件(例えば、プラズマ密度)は、非常に小さな実数(抵抗性)部(例えば、.3オームより小さい)と、小さい虚数(無効)部(例えば、7オーム)とを有するプラズマインピーダンスをもたらす。容量性損失は、上記電極のキャパシタンスが、上記リアクタの該部分における電力潮流に対して支配的なインピーダンスであるため、該システムの電極・プラズマ領域の組合せにおいて、支配的である。そのため、該電極・プラズマの組合せにおける電力損失は、該電極・プラズマの組合せに対する電圧に比例する。対照的に、誘導損失及び抵抗性損失は、突出部135のインダクタンス及びレジスタンスが、突出部135における電力潮流に対するインピーダンスの支配的な要素であるため、突出部135において支配的である。そのため、突出部135における電力損失は、該突出部の電流に比例する。該突出部の特性インピーダンスは、該電極・プラズマの組合せによって呈せられるインピーダンスの実数部よりもかなり大きい。そのため、高いインピーダンスの突出部135においては、電圧は、電流がより高く、かつ電圧がより低い、より低いインピーダンスのプラズマの場合よりも、高くなり、また、電流はより低くなる。したがって、突出部135と該プラズマ・電極の組合せとの間のインピーダンス変換は、突出部135において、より高い電圧及びより低い電流(この場合、抵抗性損失及び誘導損失が支配的であり、また、それらの損失は、ここでは最小化される)と、該プラズマ/電極における相応して低い電圧及び高い電流(この場合、容量性損失が支配的であり、また、該損失は、ここでは最小化される)とをもたらす。このようにして、上記システムにおける全体の電力損失は、電力効率が大幅に改善され、著しい利点となるように最小化される。上記の実施形態において、電力効率は、約95%以上である。
[85]したがって、上述したように構成された突出部135は、上記高周波電源及び上記電極・プラズマ間のインピーダンスマッチング又はインピーダンス変換を、非常に幅広い範囲又は作用条件のウィンドウにわたって提供できるように機能するだけでなく、更に、電力効率の著しい改善を提供できる。
クロス接地
[86]上記ウェハ表面におけるイオンエネルギは、プラズマ密度/オーバーヘッド電極の出力に関係なく制御することができる。イオンエネルギのこのような独立した制御は、高周波バイアス電源を該ウェハに印加することにより提供される。この周波数(典型的には、13.56MHz)は、プラズマ密度を管理する該オーバーヘッド電極に印加されるVHF電力よりも著しく低い。バイアス電力は、従来のインピーダンスマッチング回路210を介してウェハ支持体105に結合されたバイアス電力高周波信号発生器200によって、該ウェハに印加される。該バイアス発生器200の電力レベルは、該ウェハ表面近傍のイオンエネルギを制御し、また、一般に、プラズマソースパワージェネレータ150の電力レベルの関数である。
[87]既に言及したように、同軸突出部135は、内側同軸突出部コンダクタ140と、外側同軸突出部コンダクタ145との間に短絡回路を設ける、該外側突出部における短絡コンダクタ165を含む。短絡コンダクタ165は、図2に示すように、VHF定常波電流のピークと、VHF定常波電圧のゼロの位置を確定する。しかしながら、短絡コンダクタ165は、VHFソースパワー周波数に、またはVHFソースパワー周波近傍にある該突出部の共振と該プラズマ/電極の共振との結合のため、該VHF印加電力を短絡させない。しかしながら、コンダクタ165は、上記ウェハに印加される(高周波バイアス発生器200からの)高周波バイアス電源のような他の周波数に対する直接的なアースへの短絡のように見える。また、該コンダクタは、プラズマシース内で発生したVHF電源周波数の高調波等のより高い周波数も短絡させる。
[88]ウェハ110及びウェハ支持体105、高周波インピーダンスマッチング回路210及び該回路に接続された高周波バイアス電源200の組合せは、非常に低いインピーダンス、又は、オーバーヘッド電極125に印加されたVHF電力に対するアースへのほぼ短絡を提供できる。その結果として、上記システムは、クロス接地であり、該高周波バイアス信号は、オーバーヘッド電極125及び短絡した同軸突出部135を介してアースへ戻され、また、オーバーヘッド電極135上のVHF電力信号は、(VHFに対する)非常に低いインピーダンス経路を介して、上記ウェハ、高周波バイアスインピーダンスマッチング210及び高周波バイアス電力発生器200を通ってアースへ戻される。
[89]上記ウェハの面とオーバーヘッド電極125の面との間の上記チャンバの側壁の露出部分は、電極125の大面積及び比較的短い電極・ウェハ間のギャップのため、オーバーヘッド電極125に印加されるVHF電力のための直接戻り経路としての役割をほとんど果たさず、又は、全く果たさない。実際には、該チャンバの側壁は、磁気絶縁、又は、絶縁被覆、又は、環状絶縁挿入体、又は、可動ライナーを用いて、プラズマから絶縁してもよい。
[90]上記電極・ペデスタル間の垂直経路内で、オーバーヘッド電極125から発せられ、かつ上記側壁等の、チャンバ100の他の部分から出るVHFプラズマソースパワーの電流の流れを制限するために、ウェハ110の面内の有効な接地又はリターン電極領域は、該ウェハ又はウェハ支持体105の物理的領域を超えて拡大され、そのため、該領域は、オーバーヘッド電極125の領域を超える。このことは、一般的にウェハ110と同一平面であり、かつ該ウェハを囲んでいる環状半導体リング115を設けることにより、達成される。半導体リング115は、接地されたチャンバボディに対する漂遊キャパシタンスを提供し、それにより、該オーバーヘッド電極からのVHF電力信号のために、ウェハ110の面内における「リターン」電極の有効半径を拡大する。半導体リング115は、絶縁リング120によって、該接地されたチャンバボディと絶縁されている。リング120の厚さ及び誘電率は、ウェハ110を流れるVHFアース電流と、半導体リング115を流れるVHFアース電流との所望の比を実現するように選択される。一実施形態において、絶縁リング120は、誘電率4及び10mmの厚さを有する石英であった。
[91]上記ウェハの表面と電極125との間の垂直経路内でのバイアス発生器200からの高周波プラズマバイアス電力からの電流の流れを制限するため、及び、上記チャンバの他の部分(例えば側壁)への電流の流れを回避するため、オーバーヘッド電極135は、該ウェハ又はウェハ支持体105の領域よりも著しく大きい有効高周波リターン電極領域を設ける。ウェハ支持体105の平面内の半導体リング115は、該高周波バイアス電力を該チャンバ内に結合する際に、重要な役割を果たさないため、該高周波バイアス電力を結合する該有効電極領域は、該ウェハ及びウェハ支持体105の領域に本質的に制限される。
プラズマ安定性の向上
[92]プラズマ安定性は、突出部135の後部において、内側コンダクタ140と外側コンダクタ145とにわたって接続された短絡コンダクタ165へのプラズマのDC結合をなくすことによって向上した。このことは、同軸突出部内側コンダクタ140と電極125との間に、薄い容量性リング180を設けることにより成し遂げられる。図1の実施形態において、リング180は、底部の電極125と、導電性環状内側ハウジング支持体176との間に挟まれている。本願明細書において説明した例示的な実施形態において、容量性リング180は、選択されたバイアスの周波数、約13MHzにより、約180ピコファラッドのキャパシタンスを有していた。このようなキャパシタンスの値の場合、容量性リング180は、上述したクロス接地という特徴を妨げない。クロス接地という特徴においては、上記ウェハ・ペデスタル上の高周波バイアス信号は、突出部135を介して、高周波バイアス発生器150の高周波リターン端子へ戻り、一方、電極125からのVHFソースパワー信号は、該ウェハ・ペデスタルを介して、VHFソースパワー発生器150の高周波リターン端子へ戻る。
[93]図5は、周波数の関数としての、VHF電源とオーバーヘッド電極125との間の反射係数を図示するグラフである。このグラフは、該反射係数が、その範囲内で6dB以下である非常に広帯域の周波数の存在を図示し、これは、上述した極めて有利な低システムQを指し示す。
[94]図6は、タップ160が、上記突出部の短絡された端部から、図2Bの距離Aに置かれている場合の同軸突出部135に沿った位置の関数としての定常波電流(実線)を図示する。
[95]図7は、上記リアクタの代替の実施形態を図示し、同軸突出部135の内側コンダクタ140が先細りになっており、オーバーヘッド電極125の近傍で、突出部端部135b近傍で大きな半径を有し、該突出部の遠端部135aにおいて、小さな半径を有している。この特徴は、タップ160において、同軸突出部135によって呈せられる低インピーダンス(例えば、50オーム)と、オーバーヘッド電極125において、同軸突出部135によって呈せられるより高いインピーダンス(例えば、64オーム)との間の遷移を提供する。また、図7に示すように、突出部135は、湾曲させる必要はなく、代わりに、直線状にすることができる。
[96]プロセスガスは、望ましくは、オーバーヘッドVHFソースパワー電極を介して導入される。このために、該オーバーヘッド電極には、該オーバーヘッド電極を通る小さなガス注入ノズル又はポートからなるアレイを設けることにより、ガス分布シャワーヘッドの機能が与えられる。該プロセスガスは、同軸チューニング突出部の中心コンダクタを介して、それらの注入ポートに供給される。該中心コンダクタは、該オーバーヘッド電極に結合されているため、該プロセスガス供給は、プラズマから、及び、電界から完全に保護される。
[97]アーク放電及び他の起り得る結果が回避されると共に、特徴の組合せによって、上述した利点の全てが維持され、該特徴のうちの1つは、該オーバーヘッド電極を該VHFチューニング突出部と容量絶縁することにより、該オーバーヘッド電極を浮遊DC電位にすることである。このことは、該同軸チューニング突出部と該オーバーヘッド電極との間に、絶縁膜を配置することにより成し遂げられる。この特徴は、DCプラズマ電流が該チューニング突出部を通って該オーバーヘッド電極を介して戻ってくることを防ぎ、それにより、該オーバーヘッド電極内のガス注入穴内でのアーク放電を低減する。
[98]アーク放電を低減する別の特徴は、プラズマと該オーバーヘッド電極との間にキャパシタンスを設けることである。このために、絶縁層が、該プラズマに面する該オーバーヘッド電極の電極面に形成される。このことは、そのような電極面、特に、該電極の上記ガス注入ポートの内部面を陽極酸化することによって行うことができる。この特徴は、該オーバーヘッド電極の該ガス注入ポート内でのプラズマアーク放電を未然に防ぐのに役に立つ。このことの1つの理由は、該陽極酸化した電極面のキャパシタンスが、該プラズマからのRF電流のある程度の電荷が、該電極面に流れるのではなく蓄積されることを可能にする電荷蓄積能力を提供することである。したがって、電荷が、該オーバーヘッド電極の該ガス注入ポートの表面からそれる限り、該ポート内でのプラズマ点火は回避される。
[99]該オーバーヘッド電極の該ガス注入ポート内でのプラズマアーク放電を回避することに加えて、該オーバーヘッド電極を容量絶縁するという特徴は、著しい利点として、該プラズマと該電極との間に、最終的にDC電流を生じさせないため、該電極の耐用期間を延ばす。
[100]上記ガス注入ポート内でのプラズマアーク放電のリスクを更に低減するために、別の特徴、すなわち、上記同軸突出部と、該電極と上記同軸チューニング突出部との間に存在する容量性層との間の金属「フォーム」層が導入される。一実施形態において、該金属フォーム層は、上記オーバーヘッド電極と一般的に同一の広がりをもつ径からなる。該金属フォーム層は、当分野において周知の市販のタイプであり、かつ典型的に、乱雑なセル構造を有するアルミニウムマトリクスからなる。該金属フォーム層の利点は、該層が、該電極の近傍(すなわち、該オーバーヘッド電極の上のプレナム内)の電界を抑制し、それにより、プラズマが、該オーバーヘッド電極の上記ガス注入ポート内でのアーク放電する傾向を低減することである。
[101]また、金属フォーム層は、上記オーバーヘッド電極の上記ガス注入ポートからなるアレイ全域での一様なガス分布を達成するために、入ってくるプロセスガスを調節するのにも用いられる。該オーバーヘッド・シーリングの該ガス注入穴又はポートは、半径方向内側の群と、半径方向外側の群とに分けることができる。一方の金属フォーム層は、第1のガス供給と、該ポートの外側の群との間のガスを調節し、他方の金属フォーム層は、第2のガス供給と、該ポートの内側の群との間のガスを調節する。プロセスガスフローの径方向の分布は、該2つのガス供給のガス流量を独立して調整することにより、調整することができる。
[102]上記同軸チューニング突出部及びオーバーヘッド電極は、ウェハ支持体ペデスタルに印加される高周波バイアス電力のためのアースへの低インピーダンスRFリターン経路を与える。しかしながら、ここで、該同軸チューニング突出部と該オーバーヘッド電極との間に挿入された新たな容量性絶縁層を、該オーバーヘッド電極を通る該リターンHF経路を特定の高周波に対してチューニングするのに用いることができることが発見されている。(該オーバーヘッド電極に関する)VHFソースパワー周波数の選択の1つの利点は、(該オーバーヘッド電極と該チューニング突出部との間の)該容量性層は、広帯域のVHF周波数にとっては電気的短絡であるため、高周波に対してチューニングした場合、該オーバーヘッド電極に印加されたVHF信号に影響を及ぼさない。
[103]最初に、上記RFリターン経路が、付加された容量性層によりチューニングされる狭い高周波通過帯域は、上記ウェハ支持体ペデスタルに印加される高周波バイアスソースパワーの周波数の中心にあった。しかしながら、シースが発生させる高調波の問題は、代わりにこのキャパシタンスを選択して、該オーバーヘッド電極を通る該高周波リターン経路を該高周波バイアス電力信号の第二調波に対してチューニングすることにより、解決することができる。この選択の結果は、該オーバーヘッド電極近傍のプラズマシース内で発生した高周波第二調波は、大量のプラズマに著しい影響を及ぼすことができる前に、該オーバーヘッド電極を介してアースへ分流されるということである。エッチングレートは、この特長により、一実施形態において、10%〜15%だけ改善されることが見出された。この場合、該高周波バイアス信号の基本波は、上記チャンバ側壁等の他の利用可能なRF経路を通ってアースへ戻ると思われる。
[104]以下に、詳細に説明するように、選択された高周波での共振のための(上記オーバーヘッドと上記チューニング突出部との間の)この付加された容量性層のキャパシタンスの選択は、該オーバーヘッド電極における薄いプラズマシースのキャパシタンスだけではなく、上記ウェハ支持体ペデスタルにおける厚いプラズマシースのキャパシタンスも考慮しなければならない。
[105]本リアクタの高効率のVHFプラズマソースは、定期的に上記チャンバ内部を入念にドライ洗浄するのに用いることができるため、十分高い密度のプラズマを維持することが可能である。「ドライ洗浄」という用語は、この明細書において用いる場合、液状化学物質の塗布は要せず、プラズマの印加のみを要するため、真空エンクロージャを開く必要がない洗浄処置を指す。このようにして、該チャンバをポリマー残留物によって入念に洗浄することができるので、ウェハ処理中のこの表面を、十分高い温度に保って、該チャンバ上のどのようなポリマー堆積物も継続的に蒸発させることができ、その結果、該チャンバは、処理の全体を通して、ポリマー堆積物が少なくともほぼない状態に保たれる。(対照的に、入念に洗浄することができないリアクタの場合、該プロセスの汚染を回避するために、プラズマ状態は、チャンバ壁面上のポリマー堆積物が、除去されるのではなく、堆積し続けるように制御されなければならない。)このために、上記オーバーヘッド電極アセンブリは、該オーバーヘッド電極を加熱又は冷却する流体を導入するための液体流路を含み、該電極の外面の温度制御を可能にしている。一般に、プラズマ状態(イオンエネルギ、壁の温度等)は、処理中に、ポリマーが該チャンバ面に堆積しないようになっている。どのような小さな蓄積物も、洗浄中に、入念に除去される。
[106]このような特徴の1つの利点は、視覚ウィンドウを、該オーバーヘッド電極上、又は、該電極に隣接して設けることができるということであり、なぜなら、該ウィンドウは、処理中に、きれいなままであり、あるいは、ポリマー堆積物がつかないからである。したがって、上記リアクタのパフォーマンスを、視覚的に監視することができる。その結果、該オーバーヘッド電極は、上記チャンバの外部のセンサへの接続のために、光伝達光ファイバケーブルを上方へ伸ばした状態で、視覚ウィンドウを、その中心近傍に含むことができる。該プラズマプロセスの視覚的監視は、終点検知を実行するのに用いることができる。例えば、該視覚的監視は、従来の光学測定技術を用いて、プラズマエッチングプロセスにおける層の厚さの減少、あるいは、プラズマCVDにおける層の厚さの増加を測定してもよい。
[107]プラズマに入り、かつ最終的に該ウェハ又は被加工物に到達する、上記オーバーヘッド電極の露出面の材料物質からの汚染の問題を解決するために、追加的な外側層が、該オーバーヘッド電極の(プラズマに面する)底面に導入される。この追加的な外側層は、実行される特定のプロセスに影響を及ぼさない材料物質で形成される。例えば、二酸化シリコンのエッチングプロセスにおいて、該オーバーヘッド電極上の該外側層は、シリコン又は炭化ケイ素となるであろう。一般に、この外側層の配置の前に、該オーバーヘッド電極のプラズマに面する面は、本願明細書において既に述べたように、陽極酸化される。
[108]本リアクタの別の発見は、プラズマが、当初の予想よりも、より大きな抵抗負荷インピーダンス変動及びより小さな無効負荷インピーダンス変動を呈することができるということである。具体的には、抵抗負荷インピーダンスは、(60:1どころではなく)100:1程度も変動する可能性があり、一方、無効負荷インピーダンスは、(35%どころではなく)20%しか変化しない可能性がある。この差が、上記同軸チューニング突出部の特性インピーダンスを(上記高周波電源の50オームの出力インピーダンスよりも高い)65オームから(該高周波電源の出力インピーダンスよりも低い)30オームまで低下させる。この低下は、効率のわずかな妥協を伴って、チューニングスペースの比例的増加を達成する。具体的には、上記チューニング突出部によってマッチングすることができるプラズマ抵抗負荷インピーダンスの変動の範囲は、上記同軸突出部の特性インピーダンスの低下により、60:1から100:1まで増加する。該同軸突出部の特性インピーダンスは、該突出部の内側コンダクタ及び外側コンダクタの半径によって決まる。
[109]該同軸チューニング突出部の設置面積を低減するために、等価なストリップライン回路が代わりに置き換えられる。該同軸チューニング突出部の該外側コンダクタは、上記リアクタにふたをする金属蓋としての接地平面になり、一方、該同軸チューニング突出部の中心コンダクタは、ストリップラインコンダクタになる。該ストリップラインコンダクタの特性インピーダンスは、該ストリップラインコンダクタと該接地平面(該蓋)との間の間隔を調整することによって調整される。該チューニングデバイスの設置面積は、該同軸チューニング突出部が直線に沿って伸びていると共に、該ストリップラインコンダクタが該蓋の内側に環状にからみつくことができ、それにより、該領域又は設置面積が低減されるため、低減される。該同軸チューニング突出部の全ての特徴は、該ストリップライン回路において維持される。したがって、該ストリップラインコンダクタの長さは、上述したような該同軸チューニング突出部の長さと同じ方法で決められる。また、上記VHF発生器に接続された供給ポイント又はタップに対する該ストリップラインコンダクタの長さに沿った配置は、該同軸チューニング突出部に対する該タップの位置と同じである。また、該ストリップラインコンダクタは中空であり、有用物は、有用物が、該同軸チューニング突出部の中心コンダクタを通って供給されるのと同じように、該ストリップラインコンダクタを通って供給される。
オーバーヘッド電極を介したプロセスガスの導入
[110]ここで、図8及び図9を参照すると、オーバーヘッド電極125は、ガス分布シャワーヘッドであり、そのため、被加工物支持体105に面するその底部面125aに、多数のガス注入ポート又は小穴300を有する。例示的な実施形態において、ポート300は、0.01〜0.03インチ径であり、それらの中心は、約3/8インチだけ均一に離間している。図8に図示する実施形態において、円錐形金属ハウジング290の環状頂部290aは、同軸突出部の内側コンダクタ140の近端部140aを支持し、また、その環状ベース290bは、アルミニウムオーバーヘッド電極125上に載っている。ハウジング290の円錐形の形状は、その内部で、様々な有用物を中空同軸内側コンダクタ140からオーバーヘッド電極125へ供給することができる、オーバーヘッド電極125を被覆する大きな開プレナムを画成する。以下に、更に詳細に説明するように、円錐形ハウジングベース290bは、オーバーヘッド電極125の外周部の近傍にあり、オーバーヘッド電極125の上面のほぼ全てにアクセス可能にする。
[111]一般に、ポート300は、0.020インチ径のポートからなる半径方向外側の群302と、0.010インチ径のポートからなる半径方向内側の群304とからなる。一般に、ポートからなる半径方向外側の群302は、ウェハ周辺における均一なガスフローを保障するために、該ウェハの半径の約半分だけ該ウェハの周辺部を越えて伸びている。この特徴の1つの利点は、プロセスガスフローの半径方向の分布を、図1〜図7のVHF容量結合リアクタの傾向を補正して、該ウェハの中心上でより大きく、かつ、ウェハ周辺上でより小さいプラズマ密度をもたらすように調整することができるということである。オーバーヘッド電極125内の半径方向外側の金属フォーム層310は、ポート302の上に重なっている。外側フォーム層310の上に重なっている半径方向外側のガス分布マニホールド又はプレナム315は、軸方向ガス流路320を介して、同軸チューニング突出部135の内部コンダクタ140を通過するガス供給ライン325に結合されている。オーバーヘッド電極125内の半径方向内側のアルミニウムフォーム層330は、ポート304の上に重なっている。内側のフォーム層330に重なっている半径方向内側のガス分布マニホールド又はプレナム335は、軸方向ガス流路340を介して、同軸チューニング突出部135の内部コンダクタ140を通過するガス供給ライン345に結合されている。アルミニウムフォーム層310及び330は、入ってくるプロセスガスを調節する。プロセスガス流量の半径方向分布は、ガス供給ライン325及び345の各々の内部のプロセスガス流量の独立した選択によって調整される。
ガス注入ポート内でのアーク放電の抑制
[112]アーク放電を低減する手段として、プラズマと上記オーバーヘッド電極との間にある程度のキャパシタンスを設けるために、オーバーヘッド電極の底部面125aは、絶縁層で被覆されている。一実施形態において、オーバーヘッド電極125はアルミニウムであり、該絶縁被膜は、電極底部面125aを陽極酸化することにより形成される。このような陽極酸化は、平坦な底部面125aにだけではなく、ガス注入ポート300の内部面にも、非常に薄い絶縁被膜を形成する。この特徴は、オーバーヘッド電極125に流れるRFプラズマ電流を補正することができる電荷蓄積能力を提供することにより、該ガス注入ポート内でのアーク放電を抑制する傾向がある。図10は、ガスインレットポート300のうちの1つの近くの結果として生じる微細構造を図示する、図8に対応する拡大部分図である。特に、陽極酸化により形成された酸化アルミニウム層350が電極の底部面125aを被覆しており、また、ガス注入ポート300の内部面を被覆している。
[113]オーバーヘッド電極125近傍の電界を抑制するために、オーバーヘッド電極125の頂部面125bは、アルミニウムフォームからなる比較的厚い(0.25インチ)層355で被覆されている。厚いアルミニウムフォーム355は、軸(垂直)方向において、該オーバーヘッド電極近傍の電位を一定に保つ傾向があり、それにより、該付近での電界を抑制し、これは、別の方法でガス注入ポート300内でのプラズマアーク放電に貢献することになるであろう。
[114]上記オーバーヘッド電極を通って同軸突出部の中心コンダクタ140に流れるDCプラズマ電流を防ぐために、薄い絶縁層360が、オーバーヘッド電極125と、オーバーヘッド電極125を同軸中心コンダクタ140に接続する導電性ハウジング290のベース290bとの間に置かれている。この特徴は、該オーバーヘッド電極のDC電位を浮かせることを可能にする。それにより、コンデンサが、オーバーヘッド電極125と導電性ハウジングベース290bとの間に形成される。このコンデンサのキャパシタンスは、ベース290bの面積により、及び、薄い絶縁層360の厚さ及び誘電率により決まる。このコンデンサのキャパシタンスは、特定の高周波数で、狭い共振又は低インピーダンス路を提供できるように、及び、VHF帯域の全域でRF短絡を提供できるように、選択することができる。このように、オーバーヘッド電極125は、ウェハ支持体ペデスタル105に印加される高周波バイアス電力のための戻り経路を設けるが、VHFソースパワー周波数におけるオーバーヘッド電極125の作用には影響を及ぼさない。このように、別の方法で該オーバーヘッド電極に流れるであろうDCプラズマ電流を防ぐことにより、ガス注入ポート300内でのプラズマアーク放電は、そのようなDC電流がアーク放電に貢献するため、抑制される。
[115]要約すると、ガス注入ポート300内でのプラズマアーク放電は、絶縁層350を、オーバーヘッド電極125の底部及びガス注入ポート300の内部面に形成することにより、アルミニウムフォーム層355をオーバーヘッド電極125の頂部に設けることにより、及び、薄い絶縁層360を、オーバーヘッド電極125と導電性ハウジング290との間に置くことにより抑制される。
プラズマシースが発生させる高調波の抑制
[116]薄い絶縁層360は、ウェハ支持体ペデスタル105に印加される高周波バイアス信号のプラズマシースが発生させる高調波を抑制する際に、重要な役割を果たすことができる。そのような高調波の存在は、プロセスパフォーマンスを減少させ、具体的には、エッチングレートを減少させる。絶縁層360のキャパシタンス決定特性(すなわち、誘電率及び厚さ)を選択することにより、プラズマからオーバーヘッド電極125及び同軸内側コンダクタ140を通る戻り経路は、特定の高周波で共振(及びそのため、非常に高いアドミタンスを有)するようにチューニングされる。この共振周波数のための1つの選択は、ウェハ支持体ペデスタル105に印加される高周波バイアス信号の基本波になり、それは、この共振が、該バイアス信号の第2調波になるように選択することにより、エッチングレートが10%〜15%改善されるという上記リアクタの発見である。このような好都合な結果は、該プラズマシースによって呈せられる非線形負荷により生成された高調波が、容量性層360によって、該オーバーヘッド電極及び同軸中心コンダクタ140により呈せられる低インピーダンス路を通ってアースへ速やかに戻るので、達成される。
[117]オーバーヘッド電極125を通る戻り経路を特定の高周波に対してチューニングするためのコンデンサ層360の厚さの選択は、オーバーヘッド電極125における薄いプラズマシースのキャパシタンス、ウェハ支持体ペデスタル105における厚いプラズマシースのキャパシタンス及びプラズマ自体のキャパシタンスを含む多くの要因に影響を受ける。当業者は、試行錯誤を含む、特定のプラズマ作動条件を考慮して、選択された高周波における共振を達成するためのコンデンサ層360の正しい厚さを見出すために、多くの従来の技法を容易に用いることができる。
電極表面温度の制御
[118]酸化エッチングリアクタにおいては、ポリマー堆積物は、プロセスガスが、シリコン酸化物質と、エッチングされない他の材料物質との間で適当なエッチング選択性を達成するために、被加工物上の非酸化物含有面を被覆してポリマー層を形成することができなければならないため、重大な問題である。フロン系ガスを使用するプラズマ処理の間、単純なフッ素イオン及びラジカルがエッチングを実行し、一方、カーボンリッチな活性種は、該被加工物上の全ての非酸素含有材料物質及び上記リアクタのチャンバの全ての内部面を被覆してポリマーを堆積させる。チャンバ内面からプラズマ中に落ちてくるポリマー粒子による該被加工物の汚染を回避するために、それらの面は、十分に低い温度に保たなければならず、また、該プラズマの電子エネルギは、該チャンバ内部面のそのような堆積物の引き剥がしを回避するために、十分に低く保たなければならない。別法として、該チャンバの真空、及びそのような堆積物を除去するために実行される化学的洗浄工程、すなわち、該リアクタの生産性を大幅に低減させる工程は、中断しなければならない。
[119]図1を参照して説明した容量結合VHFソースは高効率であり、またそのため、ウェハ処理中に堆積したいかなるポリマー残留物も該チャンバ内部面から入念に除去するのに十分高いプラズマ密度を、非化学的洗浄工程中にもたらすことが可能である。このような洗浄工程中に、通常のプラズマプロセスガスを、より揮発性のガス(例えば、非常に高い遊離型フッ素含有量を有するプラズマをもたらす傾向のガス)と置換してもよい。液状化学物質を上記チャンバ内に導入する必要がないので、該チャンバは、閉じたままであり、その結果、該洗浄工程を迅速かつ定期的に実行して、該チャンバを、ポリマー堆積物がない状態に保つことができる。そのため、図8のリアクタの1つの作動モードは、該チャンバの表面温度及びプラズマイオン密度は、該内部チャンバ面へのポリマーの蓄積を回避するのに十分大きい。
[120]このために、図8のリアクタは、(熱伝導流体のための)流路670をオーバーヘッド電極125上に含む。図8の実施においては、流体流路670は、上方アルミニウムフォーム層355と、オーバーヘッド電極125の上面との間に形成されている。別法として、このような流路は、完全にオーバーヘッド電極125内部に形成してもよい。温度制御流体又はガスは、中空内側同軸コンダクタ140を通過する流体供給ライン675から流体流路670に供給される。したがって、オーバーヘッド電極125の温度を正確に制御することができる。このように電極温度を制御することにより、及び、プラズマイオンエネルギ等の他のプラズマプロセスパラメータを制御することにより、上記リアクタは、(該表面が、ポリマーを蓄積させるのに十分冷たい)堆積モード、又は、(該表面が、プラズマイオンを該表面から引き剥がし、それによりポリマーの蓄積を回避することができるように十分熱い)消耗モードのいずれかで作動することができる。1つの好ましいモードは、このモードが粒子汚染を回避するため、該消耗モードである。
プラズマプロセスの視覚的監視
[121]図8のリアクタは、上記チャンバの内部面にポリマー堆積物がないように作動させることができるため、視覚ウィンドウ680は、オーバーヘッド電極125の底部面に設けることができる。光ファイバ又は光パイプ685等の光学的チャネルは、一端が視覚ウィンドウ680に接続されており、中空内側同軸コンダクタ140を通過する。光パイプ685は、その外側端が従来の光検出器687に接続されている。
[122]この特徴を用いて、終点検知及び他の測定を、そのような光検出器を用いて実行することができる。具体的には、検出器687は、周知の光技法を用いて、被加工物又は半導体ウェハ110上の選択した層の厚さを測定する。例えば、エッチングプロセスの間に、該プロセスは、検出器687によって測定した場合、エッチングされる材料物質の厚さが所定の厚さまで減った後に停止される。
汚染の防止
[123]上記チャンバの内部面は、ポリマー堆積物がない状態に保有することができるため、該内部面は、プラズマにさらされたままである。特に、アルミニウムオーバーヘッド電極125の底部面は、プラズマによる化学的作用を継続的に受け続け、また、そのため、プラズマ中へのアルミニウム活性種に貢献しやすく、該被加工物の汚染及びプロセスの失敗につながる。このような問題を防ぐために、陽極酸化してもよいオーバーヘッド電極125の底部面は、シリコン又は炭化ケイ素等のプロセスの作用に影響を及ぼさない材料物質で被覆される。したがって、図10及び図11に示すように、薄い炭化ケイ素膜690は、アルミニウムオーバーヘッド電極125の底部陽極酸化面を被覆する。薄いシリコン又は炭化ケイ素膜690は、プラズマが電極125のアルミニウム材料物質に化学的作用を及ぼすことを防止する。プラズマが、シリコン含有膜690から材料物質を除去するまで、該プラズマ中にそのように導入された活性種は、そのような活性種(シリコン及び炭素)が既に、該プラズマ及び/又は被加工物中に存在しており、そのため、該プロセスの作用に影響を及ぼさないため、該プロセスを汚染することができない。シリコンは、シリコン酸化物がエッチングされるプラズマ中に存在している。炭素は、フロン系ガスがプロセスエッチングガスとして用いられるプラズマ中にある。
[124]代替の実施形態においては、上記オーバーヘッド電極は陽極酸化されておらず、また、炭化ケイ素膜690は、電極125の純粋なアルミニウム面を被覆して形成されている。
同軸突出部のチューニングスペースを増加させること
[125]プラズマは、より大きな抵抗負荷インピーダンスの変動及びより小さな無効負荷インピーダンスの変動を呈することができる。具体的には、該プラズマの抵抗負荷インピーダンスは、(60:1どころではなく)100:1程度変化する可能性があり、一方、該無効負荷インピーダンスは、(35%どころではなく)たった20%しか変化しない可能性がある。この差は、上記同軸チューニング突出部の特性インピーダンスを、65オーム(すなわち、上記高周波電源の50オームの出力インピーダンスより高い)から30オーム(すなわち、該高周波電源の出力インピーダンスより低い)へ低減させることを可能にする。この低減は、少しだけ効率に欠けるが、チューニングスペースの比例的増加を達成する。具体的には、該チューニング突出部によってマッチングすることができるプラズマ抵抗負荷インピーダンスの変動の範囲は、同軸突出部の特性インピーダンスの低減により、60:1から100:1まで増加する。該同軸突出部の特性インピーダンスは、その内側及び外側コンダクタの半径によって決まる。
結果
[126]したがって、上記リアクタは、作動条件の変化及び/又は製作公差の変動に対して、はるかに敏感ではない。作動条件に対する敏感性の欠乏、すなわち、インピーダンスマッチングに対する幅広いチューニングまたは周波数スペースを含むこれらの大きな利点は、たいていの所望の処理プラズマイオン密度において、プラズマの負のキャパシタンスの大きさにマッチングする、または、ほぼマッチングするキャパシタンスを有するオーバーヘッドリアクタ電極と、プラズマ・電極間の共振周波数にマッチングする、または、ほぼマッチングするVHFソースパワー周波数の使用、すなわち、該VHFソースパワー周波数、該プラズマ・電極間の共振周波数及び該突出部共振周波数の密な関係と、該プラズマ・電極間の共振周波数、該突出部の共振周波数及び該ソースパワー周波数を互いにオフセットすることと、例えば、ソースパワー入力タップ160を理想のマッチング位置からわずかにオフセットした状態で、ソースパワーを該オーバーヘッド電極に結合する共振突出部マッチングの利用とを含む、協働して作用する多数のリアクタの特徴の貢献であると思われる。
[127]該プラズマ、突出部及びソースパワー周波数をオフセットすることは、上記システムを実質的にデチューニングすることにより、該システムのチューニングスペースを広げるものと思われる。突出部マッチングを用いることは、幅広い周波数範囲にわたってマッチングすることにより、該チューニングスペースを広げる。上記突出部のタップ箇所160を理想のマッチングポイントからオフセットすることは、この特徴が、供給される電力が別の方法で低下した場合に、電流を加算するという効果、及び、供給される電力が別の方法で増加した場合に、電流を減算するという効果を有するため、該チューニングスペースを広げるように、該システムを更に最適化する。より高い(VHF)ソースパワー周波数を用いると、システムQの減少、又は、ソースパワー周波数の増加に比例したチューニングスペースの増加を提供できる。更に重要なことには、この選択は、上記電極・プラズマ間の共振を、エッチングプロセスに有利なプラズマ密度で該ソースパワー周波数にマッチングさせることを可能にする。
[128]上記リアクタは、幅広いプロセスウィンドウに関して、プロセス条件の変化に対して実質的に反応を示さないため、該リアクタは、(a)広範囲のプロセス条件の偏差に関して有効であり、(b)幅広い範囲の用途(異なるプロセスレシピ)に対して有用であり、及び、(c)そのパフォーマンスは、広範囲の製作公差に関して、実質的に影響を及ぼされないため、リアクタ間の特性が均一であるという、リアクタの3部からなる利点を提供できる。
[129]その結果として、優れた結果が獲得された。具体的には、上記システムのQは、同じモデルの異なるリアクタ間で、特性及びパフォーマンスに関して優れた一定の均一性を維持するように、及び、プロセスウィンドウを増大させるように、場合によっては、約5まで最小化されてきている。1012イオン/cc程度の高プラズマ密度は、たった2kWのソースパワーで常に達成されてきた。該システムは、10Wぐらいの低いソースパワーレベルの遷移を伴わずに、10mT〜200mTの圧力範囲でプラズマを持続した。上記VHFプラズマ周波数及びソースパワー周波数近傍で共振する短絡したインピーダンスマッチング同軸突出部は、寄生VHFプラズマシース高調波を短絡させると共に、95%を超える電力効率を実現した。該システムは、60:1のプラズマ抵抗負荷の変動及び1.3〜.75の無効負荷変動に適応すると共に、3:1以下でソースパワーSWRを保有した。
[130]負荷変動に適応するこの増加した性能及び拡大したプロセスウィンドウは、大部分が、(a)上述したように、電極125とその導電性支持体との間の誘電率値の適切な選択、及び、VHFソースパワー周波数の適切な選択によりなされた、デザイン作動条件下での該電極キャパシタンスとプラズマキャパシタンスとのマッチングと、(b)それにより上記タップ電流が、低負荷条件下で該突出部電流に加算され、高負荷条件下で該突出部電流から減算される、最適なタップ位置決めによって特別に構成された同軸突出部とによるものであると思われる。非常に高い電力効率は、大部分が、抵抗損失が支配的である、該同軸突出部における低電流及び高電圧を実現し、かつ容量性損失が支配的である、該電極/プラズマにおける高電流低電圧を実現する最適なタップ位置決めと共に、突出部共振周波数と電極・プラズマ間共振周波数とのマッチングを得ることにより、上記高周波電源接続部及び該電極接続部における反射損を最小化する、該同軸突出部により提供できるインピーダンス変換によるものであると思われる。更に、これら全ての恩恵が提供されると共に、従来のインピーダンスマッチング装置の必要性が回避され、又は最小化される。
[131]シリコン及び金属エッチングに適合された上記リアクタの実施形態を詳細に説明してきたが、該リアクタは、異なるイオン密度、異なるプラズマソースパワーレベル、異なるチャンバ圧力を含む、上述した条件以外のプラズマ作動条件の選択に対しても利点がある。これらのバリエーションは、異なるプラズマキャパシタンスを生じ、異なる電極キャパシタンス及び異なる電極・プラズマ間共振周波数を要し、そのため、上述したのとは異なるプラズマソースパワー周波数及び突出部共振周波数を要することになる。また、異なるウェハ径、及び、化学気相堆積等の異なるプラズマプロセスは、ソースパワー及びチャンバ圧力に対して、異なる作動領域を有する可能性がある。更に、これらの様々な用途において、上記リアクタは、一般に、上述した実施形態と同様に、該プロセスウィンドウ及び安定性を向上させることになると思われる。
コンパクトなVHF固定チューニング要素
[132]図1及び図8の同軸チューニング突出部は、図1〜図7を参照して説明したように、大きなチューニングスペースに関してインピーダンスマッチングを提供できる固定チューニング要素である。しかしながら、その細長い線形デザインのため、その設置面積は、実際には、該プラズマリアクタチャンバの設置面積よりも大きくなる。この態様が不利であると分かった状況においては、図1及び図8の該同軸チューニング突出部は、図12、図13及び図14に図示したような等価なストリップライン回路と置換される。VHF発生器の50オームの同軸出力コネクタの中心コンダクタは、ストリップラインコンダクタ700に接続されており、一方、VHF発生器の50オームの同軸出力コネクタの外側コンダクタは、該リアクタの頂部を被覆するハウジング715の金属シーリング710に接続されている。導電性シーリング710は、ストリップラインコンダクタ700が面する接地面として機能する。ストリップラインコンダクタ700は、一般に、その境界面が接地面シーリング710に面した状態で、断面が長円形である。該ストリップラインコンダクタの特性インピーダンスは、接地面シーリング710からの間隔によって決まる。ストリップラインコンダクタ700は、その全長に沿って、接地面シーリング710から均一に離間させることができる。
[133]例示的な実施形態において、該ストリップラインコンダクタは、高さが0.125インチで、幅が2.5インチで、接地面シーリング710の下に0.5インチだけずれている。ストリップラインコンダクタ700の幅広の方(2.5インチ)の面が接地面シーリング710に面することにより、電流が、ストリップラインコンダクタ700の2.5インチ幅の全域でより多く分布され、それにより、ほとんどの電流が発生する外側面における抵抗損失が低減される。ストリップラインコンダクタ700の長さは、図1を参照して詳細に説明したように、同軸チューニング突出部135の長さと同じように決められる。更に、ストリップラインコンダクタ700の長さに沿ったRFタップの位置も、図1を参照して説明したように、同軸突出部135の長さに沿った該RFタップの位置と同じように決められる。最終的に、オーバーヘッド電極125から最も遠くの図12のストリップラインコンダクタ700の端部は、図1の同軸突出部内側コンダクタ140の対応する端部と同様に、アースに短絡される。ストリップラインコンダクタ700の場合、該アースへの短絡は、図13に示すように、遠端部700aにおける接地面シーリング710への接続により達成される。
[134]図1〜図8の同軸チューニング突出部135と同様に、ストリップラインコンダクタ700は、上記固定チューニング要素の共振周波数の4分の1波長に等しい長さを有し、この場合、該ストリップライン回路は、ストリップラインコンダクタ700と上記接地面シーリングとを備える。そのため、ストリップラインコンダクタ700の長さの選択は、図1〜図7を参照して説明されている同軸チューニング突出部135の長さの選択と同様に厳密である。一実施形態において、この長さは、約29インチであった。図12のRFタップ160は、図1のRFタップ160が、同軸チューニング突出部135の長さに沿った対応する接続部を形成するのと同様に、ストリップラインコンダクタ700に沿った特定の箇所において、上記VHF発生器を該ストリップライン回路に接続する。図12の場合、該VHF発生器の出力同軸コネクタの中心コンダクタは、タップ160において、該ストリップラインコンダクタに接続され、一方、該VHF発生器の出力同軸コンダクタの外側コンダクタは、該ストリップラインコンダクタに対する該タップ接続部の上にある箇所において、上記接地面シーリングに接続されている。図12のタップ箇所160のストリップラインコンダクタ700の長さに沿った配置は、図1に関して詳細に上述したように、該同軸突出部の長さに沿った図1における該タップの配置と同じように決められる。インピーダンスマッチングスペースが、タップ箇所160を理論上最適な箇所からわずかにオフセットすることにより、負荷抵抗の100:1程度の変動に適応することができる、図1に関して説明した特徴を含みながら、この特徴を用いて、ストリップラインコンダクタ700及び該接地面シーリングを備える該ストリップライン回路は、図1の同軸チューニング突出部135と同じように機能する。図1を参照して上述したように、タップ160の理論上最適な位置は、定常波電圧と定常波電流との比が、そこで、上記VHF発生器の出力インピーダンス又は該発生器と該タップとの間に接続された同軸ケーブルの特性インピーダンスに等しい、チューニング突出部135の長さに沿った(又は、同等に、図12のストリップラインコンダクタ700の長さに沿った)箇所である。図1を参照して説明したこの発見は、インピーダンスマッチングスペースが、タップ160を、理論的に最適な位置から約5%だけオフセットすることにより、驚くほど拡大されるということである。したがって、図12のストリップラインコンダクタ回路は、図1の同軸チューニング突出部の全ての利点及び機能を提供でき、更に、コンパクト性という利点も加わる。
[135]図8の同軸突出部の内側コンダクタ140と同様に、図12のストリップラインコンダクタ700は、電極125に接続された有用物ラインを収容するために中空であり、また、円錐形ハウジング290の頂面290aに接続されている。(図1及び図8の同軸チューニング突出部に優る)ストリップラインコンダクタ700の利点は、該コンダクタに要する長さを、上記リアクタチャンバの「設置面積」を超えて伸びることなく実現することができるように、ストリップラインコンダクタ700が、ハウジング715内で円形状に伸びることができるということである。
[136]該ストリップラインコンダクタの長さは、図1を参照して上述したように、上記同軸チューニング突出部が決められるのと同様に決められる。ストリップラインコンダク700のインピーダンスは、接地面シーリング710からのずれを調整することにより決められる。上述したように、このインピーダンスは、約30オームになるように、又は、上記VHF発生器の出力インピーダンスよりも低いように最良に選択される。タップ160の、ストリップラインコンダクタ700の長さに沿ったVHF発生器150からの位置は、図1を参照して上述したような上記同軸チューニング突出部上のRFタップ160の位置と同様に決められる。ストリップラインコンダクタ700は、接地面シーリング710と共に、図1又は図8の同軸チューニング突出部と同じ機能を実行し、かつ、図1を参照して上述したような同じパフォーマンスの利点を提供できる。
[137]図13の上面図は、ストリップラインコンダクタ700が(丸みの付いた角部を有する)ほぼ四角形の経路に沿って巻回されている実施形態を示すが、図14は、ストリップラインコンダクタ700が、円形に巻回されている別の実施形態を図示する。
チューニング要素を介した有用物の供給
[138]図8及び図12に関して上述したように、図8の同軸突出部内側コンダクタ140及び図12のストリップラインコンダクタ700は、様々な有用物を上記オーバーヘッド電極へ運ぶラインを収容するために、共に中空である。したがって、図8及び図12の両方に図示するように、外部ガス供給ライン325は、外部ガス流量コントローラ800に接続されており、内部ガス供給ライン345は、内部ガス流量コントローラ810に接続されており、光ファイバ又は光パイプ685は、光検出器687に接続されており、加熱/冷却ライン675は、加熱/冷却源コントローラ830に接続されている。
[139]固定チューニング要素135は、(図1及び図8の実施形態の場合のような)同軸チューニング突出部又は(図12及び図14の実施形態の場合のような)ストリップライン回路のいずれかである。アンテナの設計者は、上記高周波電源の50オームの特性出力インピーダンスと、上記電極/プラズマの組合せのインピーダンスとのインピーダンスマッチングを提供にする際に、該固定チューニング要素に関する両実施形態により実行される同等の機能を認識する。該固定チューニング要素(又は、同等に、固定インピーダンスマッチング要素)に関する両実施形態は、中心コンダクタ(図12の接地面シーリング又は図8の内側同軸コンダクタのいずれか)及び接地されたコンダクタ(図12のストリップラインコンダクタ又は図8の接地された外側同軸コンダクタのいずれか)の使用を含む構造上の特徴を共通して共有する。どちらの場合においても、該インピーダンスマッチング要素の特性インピーダンスは、該2つのコンダクタ間の間隔によって決まり、一方、該インピーダンスマッチング要素に対する入力インピーダンスは、該高周波電源への接続部の中心コンダクタに沿った位置によって決まる。また、該中心コンダクタは中空であり、そのため、ガス供給ライン及び熱伝導性流体供給ラインのためのRFシールド導管として機能する。そして、最も重要な共通の特徴は、該インピーダンスマッチング要素に関する両実施形態は、物理的に固定された構造であり、そのため、可動部又はインテリジェントコントローラを必要としないということであり、これは著しい利点である。他の関連する利点は、既に説明されている。したがって、両実施形態の固定インピーダンスマッチング要素は、一般的に、中空中心コンダクタを有する固定2コンダクタインピーダンスマッチング要素と呼んでもよい。
RF損失が不変で、アーク放電が抑えられた、低汚染性の被覆シーリング電極
[140]上記シーリング電極内のガス分布流路におけるアーク放電の問題は、次の特徴、すなわち、(a)良好なガスフロー又はコンダクタンスを有する傾向があるが、ガス流路の軸方向長さに沿った電界分布を生じる傾向もあり、該電界強度が、該電極の底部面における最大強度から、該電極の頂部近傍における最小強度まで減少する、ガス流路形状と、(b)ガス供給圧力と、上記リアクタチャンバの真空レベルとの全圧力差をほぼ表す圧力低下を有するように十分損失の多い上述したガス流路を与えるオリフィスであって、該ガス流路の電界分布における最小電界強度の領域内又は該領域の上に配置されているオリフィスと、を組み合わせることによって解決される。その結果は、実質的に全ての圧力低下が最小電界強度の領域内で発生すると共に、全ての電界低下が、ほぼゼロの圧力低下の領域内で発生するということである。その結果として、上記シーリング電極の軸方向長さに沿った全ての位置におけるガス圧力及び電界強度の組合せは、一般的に、アーク放電が発生することが可能な範囲の外側にある。この範囲は、以下に詳細に論じるように、パッシェン曲線によって画成される。該ガス流路の断面形状の選択、上記の結果を成し遂げるための該オリフィスのオリフィス径及び位置を、以下に詳細に説明する。
[141]上記シーリング電極内部面の保護半導体被覆におけるRF損失の広い変動の問題は、上記リアクタに係る該半導体被覆の電気的特性の特定の選択により解決される。該半導体被覆又は半導体層によるRF吸収の広い変動は、電極温度の比較的小さな偏位、電極の厚さ及び不純物濃度によって引き起こされる。この問題は、本リアクタにおいては、RF吸収が、該材料物質の損失正接の変化に対して、少なくともほぼ不変である範囲内に、該半導体層の損失正接を置くレベルに対する該半導体層のドーパント濃度を選択することにより解決される。(損失正接は、該材料物質の複素誘電率の実数成分と虚数成分との比である。)損失正接は、ドーパント又は固有不純物濃度によって決まり、また、温度によって変化する。また、損失正接の変動に対する該材料物質におけるRF吸収の敏感性または変動は、該層の厚さに影響を受ける。その結果として、損失正接の変化に対して、RF電力吸収の最小変化の範囲内にある半導体被覆又は半導体層の損失正接を選択すると、該RF電力吸収が、温度、層の厚さ及び層の組成(例えば、不純物濃度)の変化に対してほぼ鈍感になる。
[142]本シーリング電極の一態様は、上記半導体層が、ガス注入流路が層中に形成されており、かつ金属電極ベースの底部面に直接接合されている単一のモノリシック層である高度に単純化された構造である。最適な接合を達成するための該接合層の厚さは重要であり、比較的大面積に、処理チャンバに対して露出される接合材を残すと、汚染につながる。この問題は、該接合層を独立し離間したゾーンに分け、上に重なる金属電極ベースから下方へ伸びる縁、又は(別法として)下にある半導体層から上方へ伸びる縁のいずれかによって各ゾーンを囲むことにより、該接合層の厚さを低減することなく、解決される。該縁は、上記チャンバ内でのプロセスガスの汚染を低減又は防止するために、該接合層の露出した厚みを、少なくとも部分的に被覆する。別の態様は、非常に小さなガス抜け特性を有する非常に優良な接着剤を用いることである。
[143]次に、図15を参照する。図15は、図8のシーリング電極125が図15のシーリング電極900と置換されていることを除いて、図8と同様のプラズマリアクタを図示する。シーリング電極900は、図15において、その断面が示されており、ウェハ支持体ペデスタル105に面する底部面を有する金属(例えば、アルミニウム)ベース901と、金属ベース901の底部面に接合された保護層(又は、シャワーヘッド)902とからなる。該保護層は、プロセスに影響を及ぼさない材料物質の化学気相堆積により、巨大なセラミック部材として形成することができる。このようなプロセスに影響を及ぼさない材料物質は、例えば、この明細書において後述するある特徴にしたがって、該材料物質を半導電性にする不純物をドープすることができる、炭化ケイ素等のセラミックとすることができる。シーリング電極900は更に、金属ベース901の頂面に重なっている加熱プレート903と、加熱プレート903の頂面に重なっている冷却プレート904とを含む。図15に概略的に指し示すように、加熱流体供給ライン675a及びリターンライン675bは、加熱プレート903内での循環のための加熱流体を供給し、一方、冷却流体供給ライン675c及びリターンライン675dは、冷却プレート904内での循環のための冷却流体を供給する。熱電対901は、ベース901の温度の測定値をプロセスコントローラ906に提供する。
[144]図16は、穴907からなるアレイの配置を示す金属ベース901の平面図であり、各穴907は、ベース901を通って軸方向に伸びている。図17は、上記シャワーヘッドを軸方向を通って伸びる弓形スロット908のペアからなるアレイの配置を示す、上記半導体保護層又はシャワーヘッド902の平面図である。図18の拡大図に図示するように、弓形スロット908の各ペアは、円環のほぼ半円形部分を形成する、対向する「C」字状形状908a、908bとすることができるが、他の適当な形状を代わりに用いてもよい。
[145]図19の拡大図を参照すると、金属電極ベース901は、2つの個別の構成要素、すなわち、複数の下方に伸びる円筒形ポスト923を有する上部プレート901aと、ポスト923が環状ガス流路914を形成するようにその中に入れ子状に収まる、複数の円筒形穴922を有する下部プレート901bとで形成されている。複数のガス分布マニホールド909(そのうちの1つが図19の拡大図に示されている)は、ベース901の頂部の空間として形成されている。穴907は、マニホールド909のそれぞれの底部から上部プレート901aを完全に通って、かつ下部プレート901bを部分的に通って伸びている。プラグ910は、上部プレート901a及び下部プレート901bの両方に接触するように、各穴907内に圧入されている。複数の圧入プラグ910が上部プレート901a及び下部プレート901bを一緒に保持している。各圧入プラグ910は、マニホールド909に対して開いている中心軸方向開口911と、上部プレート901a内で、軸方向開口911から径方向空間913の方へ伸びる4つの径方向オリフィス912とを有する。空間913は、ベース901の底部面に達する、ベース901内の環状流路914内に開いている。環状流路914は、半導体保護層902の弓形スロット908と一般的に位置合わせされている。各垂直流路914は、保護層902の対向する「C」字状スロット908の対応するペアの対称軸と同軸の円形(環状)弓形スロットである。ガスは、マニホールド909から下方へ流れ、軸方向プラグ開口911を通って、オリフィス912の径方向外側を通り、そこから環状垂直流路914を下方を通り、ベース901と保護層902との間の径方向スロット915の径方向を通り、弓形スロット908を通って上記チャンバ内へ流れる。
[146]スロット状流路908、914は、該スロットの方位角長さに比例する高ガスコンダクタンスを提供するが、スロット908及び環914の幅の逆関数である割合で、該スロット内の電界を低下させる。その結果として、流路908、914を通った、すなわち、保護層902の底部面から上方の径方向空間913までの圧力差はほとんどない。同時に、スロット908、914は、保護層902の底部面と径方向空間913との間の距離の範囲内で、そのピークの大きさの少なくとも半分(好ましくは、それ以上)だけ電界が低下するように、十分狭い(例えば、約.012インチ程度)。
[147]オリフィス912は、円筒形であり、ガスマニホールド909の高圧と、シーリング電極900の下の上記チャンバの真空圧との間のほぼ全圧力差が、各オリフィス912の長さにわたって低下するように、十分小さな直径(例えば、約.010程度)を有する。例えば、該圧力は、各オリフィス912の長さにわたって、10倍低下する可能性がある。以下に更に詳細に説明するように、その結果として、最高の電圧低下(電界)は、最低圧力の領域で(すなわち、スロット908、914の軸方向長さに沿って)発生し、全体の圧力低下は、最小電界の領域で(すなわち、径方向オリフィス912内で)発生する。この特徴は、以下に更に詳細に説明するように、シーリング電極900を、アーク放電に対してほとんど鈍感にする。
[148]図20を参照すると、ベース901と保護層902との間の複数のスペーサ又はポスト916は、ギャップ917の幅を固定し、保護層902をベース901に固着するために、接合接着剤918が配置されている。ギャップ917の幅は、最適な接合を保障するのに十分である。この幅は、比較的大きく、そのため、接合接着剤918のかなりの領域を、径方向流路915内のプロセスガスにさらし、このことが、接合接着剤層918からのガス抜きにより、汚染を促進する。この問題は、ギャップ917の幅のいかなる低減も要することなく、解決される。その代わりに、接着剤層918は、図19に示す複数の離間したゾーン又はアイランド918a、918b、918c等に分けられ、各アイランドは、各接合接着剤アイランド918a、918b、918c等の別に露出した垂直面921の(全部ではないが)約半分を被覆する、軸方向に伸びる縁920によって囲まれている。各縁920は、各接合接着剤層ゾーンの垂直面921の全体を被覆するか、又は、径方向流路915内のプロセスガスにさらされる、該ゾーンのほんの一部のみを残している。縁920は、径方向流路915の幅を、ギャップ917の幅以下まで低減する。プロセスガスにさらされる接合接着剤アイランド918a、918b、918cの表面積を低減する(又はなくす)ことにより、各縁920は、該接着接合材からのガス抜きによる、上記チャンバ内での汚染を低減する(あるいは、なくす)。各縁920は、金属ベース901から、すなわち、ベース901のプラグ923から下方へ伸びる(その結果、プラグ923と一体形成される)か、あるいは、保護層902から上方へ伸びてもよい。図20の描画においては、縁920は、プラグ923から下方へ伸び、かつプラグ923と一体形成されている。
[149]接着接合層918からの汚染を更に低減するためには、ガス抜きする極微の傾向を有するにすぎない、極めて優良な接合接着材を層918に用いる。接着剤層918に対する好適な接合材は、Dow Corning Corporationにより製作されているDow Corning・スペースグレード低揮発性接着剤93−500である。
[150]図21は、冷却流体がその中を循環する冷却剤流体ジャケット927を示す、冷却プレート904の平面図である。図22は、加熱流体がその中を循環する加熱流体ジャケット928を示す加熱プレート903の平面図である。
[151]図23、図24及び図25は、図15〜図19のシーリング電極における上記ガス流路及びオリフィスの形状を、該シーリング電極内でのアーク放電を防ぐために、どのように選択することができるかを図示するグラフである。図23は、該シーリング電極により上記チャンバ内に注入されたプロセスガスの場合のパッシェン曲線を表し、垂直軸は電界に対応し、水平軸はガス圧力に対応する。図23の曲線上の領域は、該ガス発生中で、アーク放電又は電気的放電が発生する電界強度・圧力空間の位置を表す。図23の曲線下では、アーク放電は発生せず、1つの目的は、該シーリング電極の軸(高さ)に沿った全てのポイントにおいて、図23の曲線の下に、圧力と電界強度との組合せを保つことである。図24は、該シーリング電極における軸方向ガス流路に沿った高さの関数としてのガス圧力を図示する。図24の点線は、小さな円筒形状のガス流路に対応し、図24の実線のほぼ水平区分は、図15〜図19の該シーリング電極におけるスロット状ガス流路908、914に対応する。図23の実線のほぼ垂直部分は、圧入プラグ910の小さな径方向穴912における圧力分布に対応する。図25は、高さの関数としての、スロット状ガス分布流路908、914における電界分布を図示する。「.020」及び「.040」と標示された図25の曲線は、それぞれ、0.020及び0.040インチのスロット幅(ギャップ)に対応する。図19の弓形スロット状ガス流路908、914に適用した場合、「幅」という用語は、該スロットの小さい方の寸法を指し、一方、「長さ」という用語は、該スロットの大きい方の寸法を指す。一般に、図19において、該長さは、ほぼ該幅以上の大きさである。
[152]図25は、上記スロット状ガス流路の電界が、該スロットの幅によって決まる比における高さによって減少することを示す。そのため、ガス流路908、914の組み合わせた長さに沿って該電界低下を増加させることにより、ガス流路914の頂部における電界強度を最小化するのに、比較的狭いスロット幅(例えば、0.010インチ)が用いられる。例えば、ガス流路914の頂部における電界は、保護層902の底部面における電界の10分の1(又はそれ以下)とすることができる。ガス流路908、914の組合わせた長さに沿った電界低下は、ベース901と保護層902との組み合わせた厚さにわたる電界低下のほとんど(例えば、80%又はほとんど全て)である。径方向オリフィス912の軸方向位置は、該オリフィスが、図25の電気分布における最小電界の領域内に位置するように十分高い。径方向オリフィス912の各々の径は、該ガス流路の高さの頂部近傍で、図24の曲線の急勾配部分によって表される、各径方向オリフィス912にわたる非常に高い圧力低下を達成するように、十分小さい(例えば、0.010インチ)。各径方向オリフィス912の長さに沿った圧力低下は、ベース901と保護層902との組み合わせた厚さにわたる圧力低下のほとんど(例えば、80%又はほとんど全て)を表す。各オリフィス912の径方向は、上記電極の軸方向厚さにわたる電界に対して直行するため、各オリフィス912の長さに沿って電界低下が最小になる。その結果として、(最大高さに位置する)径方向オリフィス912内で、該圧力は、(少なくとも、各オリフィス912の径方向内側部分近傍において)非常に高く、一方、該電界は、スロット状ガス流路908、914の狭さにより、最小(又は、ゼロ)である。高圧と低電界強度との組合せは、図23の位置930に対応し、これは、アーク放電しきい値の十分下である。軸方向弓形スロット908、914内で、該圧力は非常に低く(図24の曲線の浅い部分)、一方、該電界は、該底部近傍でその最大値(図25の曲線のピーク)に近づく。したがって、軸方向ガス流路908、914において、図23の位置931に対応して、電界は高いが、圧力は非常に低く、これもアーク放電しきい値よりも十分下である。この結果を達成するため、ガス流路908、914のスロットの長さは、ガス流路908、914の組み合わせた長さに沿った圧力低下を、電極900の頂部と底部の間の圧力低下の何十分の一まで最小化するように、十分大きくなければならない。その結果として、ほぼ全ての圧力低下は、上述したように、各径方向オリフィス912の長さに沿って発生する。該スロットの長さ(例えば、該アークの長さ)は、0.070インチ程度とすることができ、一方、該スロットの幅は、約0.010インチ程度とすることができる。
[153]次に、保護層902におけるRF損失を、様々な変動プロセス条件(温度、層の厚さ、不純物含有量)下でほぼ不変にする方法について説明する。図26は、特定のRFソースパワー周波数(すなわち、例えば、162MHz、又は、210MHzのプラズマ・電極共振周波数における、該共振周波数近傍における)、特定の温度(例えば、90℃の作動温度)における炭化ケイ素材の損失正接の関数としての、保護層902の炭化ケイ素バージョンにおけるRFソースパワーの損失を図示するグラフである。該損失正接は、該材料物質の複素誘電率の実数成分と虚数成分との比であり、該材料物質が吸収するRF電力の量を決める。損失正接は、該材料物質の実数の誘電率と該RF周波数との積で該材料物質の伝導率を割ったものである。損失正接は、半導体材料物質中のドーパント不純物の濃度を変化させることにより調整することができる。図26に図示されている、炭化ケイ素等の半導体材料物質の作用は、様々なドーパント不純物濃度(ゆえに、異なる損失正接)からなる炭化ケイ素層の損失正接を測定し、該層各々に吸収されたRF電力を測定することにより、容易に導出される。このような測定は、King,Transmission Line Theory,McGraw−Hill,1955年、8頁及び285〜286頁に記載されている原理にしたがって、当業者によって容易に実施される。図27のグラフは、炭化ケイ素層902におけるRF損失又は吸収が、損失正接1でピークをつけ、このピークから、該損失正接の増加又は減少のいずれかを伴って減っていくことを示す。
[154]誘電体の損失正接は、一般に、温度と共に増加し、そのため、温度変動は、RF電力吸収の変動を引き起こすことになる。損失正接1におけるピークRF損失近傍で、RF電力は、損失正接の小さな変動だけで非常に劇的に失われる。保護層902の厚さを増加させた場合、損失正接の変化に伴うRF損失の変動は、より一層顕著になる。このことは、薄い層の作用を表す図26の実線の曲線と、より厚い層を表す図26の点線の曲線との比較によって図示される。すなわち、図26のいずれかの曲線のピーク近傍(すなわち、損失正接1において)で、RF電力は、温度又は層の厚さのいずれかの小さな変化だけで、大幅に失われる。該ピークから離れると(すなわち、10以上、又は、0.1以下の損失正接値において)、該点線の曲線は、該実線の曲線とほぼ重なり、その結果、層の厚さの差が、RF電力損失のごくわずかな変化を引き起こす。また、RF電力損失は、該損失正接が10以上、又は、0.1以下の場合、損失正接値の幅広い範囲にわたってほぼ一定であり、その結果、損失正接値のそれらの範囲に対応する温度変動は、RF電力損失のごくわずかな変化を引き起こす。そのため、保護層902におけるRF電力損失は、作動温度において、10を超えるか、又は、0.1未満の損失正接に対して、該材料物質をドープすることにより、温度、層の厚さ及び不純物濃度の変化に関して、ほぼ不変となる。一実施形態において、0.1以下の損失正接は、比較的高価なほぼ真性の半導体材料物質を要するため、該損失正接は、コストを節約するために、作動温度において、10以上になるように選択される。10以上の損失正接値の選択は、該半導体層におけるRF損失のわずかな測定可能な変動を伴って、又は、該変動を伴わずに、約10以上の損失正接値に対応する温度偏位を可能にする。温度制御、層の厚さ及びドーパント濃度に対するデザイン上の許容範囲は、(図26で「最適範囲」と標示したバーによって図示するように)10から非常に高い値まで及ぶ損失正接値の範囲に対応して、非常に広い。この選択は、損失正接に対する、RF電力吸収(図26の垂直軸)の微分係数を低減(ほぼ最小化)する。以下を見て分かるように、このような選択の結果は、温度、厚さ及び不純物濃度に対する該RF電力吸収の微分係数を低減(ほぼ最小化)することになる。
[155]図27は、電力損失(垂直軸)が、異なる損失正接値に対して、温度の変化によってどのように変化するかを図示する。「tanδピーク」と標示された図28の一方の曲線は、図26の損失正接値1に対応し、所定の温度範囲に関するRF電力損失の非常に大きな変化を指し示す。「tanδ10」と標示された図27の他方の曲線は、10を超える損失正接値に対応し、同じ温度範囲に関するRF電力損失の非常に小さな又はゼロの変化を指し示す。図28は、電力損失(垂直軸)が、厚さの範囲に関して、(保護層902の)層厚の変化によってどのように変動するかを図示する。「tanδピーク」と標示された図28の一方の曲線は、図26の損失正接値1に対応し、所定の厚さの範囲に関して、RF電力損失の非常に大きな変化を指し示す。「tanδ10」と標示された図28の別の曲線は、10を超える損失正接値に対応し、同じ厚さの範囲に関して、RF電力損失の非常に小さな又はゼロの変化を指し示す。したがって、図27及び図28は、図26に図示した作用の結果、及び、上記リアクタによる損失正接範囲の正しい選択が、温度制御及び層の厚さの両方に対して、デザインウィンドウをどのように広げるかを示す。
[156]したがって、一般に、処置は、保護層902のための半導体(又は、他のプロセスに影響を及ぼさない)材料物質を選択して、選択したRFソースパワー周波数で、RF損失が該材料物質の損失正接値の範囲に関してどのように作用するかを判断することである。具体的には、該材料物質における最大RF損失に対応する損失正接値が見出される。このことは、分析的に、又は、異なる不純物濃度を有する同じ材料物質の異なるサンプルを用いて、一連のRF損失測定を実行することにより行える。一旦、ピークRF損失の損失正接値が見出されると、該ピーク損失における損失正接値より大きな(又は小さい)損失正接値が選択される。そして、該材料物質は、該材料物質において選択された損失正接値を出す不純物濃度レベルまでドープされた後、保護層902を形成するのに使用される。上記シーリング電極の温度は、少なくとも、ピークRF吸収の損失正接値よりも大きい(又は小さい)損失正接値を保有するように制御される。炭化ケイ素においては、損失正接値10以上に対するこの温度範囲は、室温から250℃以上に及ぶ。
[157]図20を参照して上述したように、それぞれの接着接合アイランド918の露出した垂直面921を被覆する各縁920の特徴は、接着接合層918の厚さを、該接合接着材に付随する汚染の増加を伴うことなく、最大強度の接合に対してかなり大きくすることを可能にする。しかしながら、接合接着層918の厚さに関わらず、発明者等は、通常の使用中、特に、洗浄中に、シーリング電極900に起きる温度偏位が、アセンブリ900を、金属ベース901と保護層902との熱膨張率の差によって生じるせん断力により破壊することを見出した。このような温度偏位を、図29のグラフに940で標示した曲線で図示する。接着接合層918が約30℃の温度で硬化すると仮定すると、上記シーリング電極の温度は、プラズマ処理中に、約90℃まで上昇する。この温度の増加は、金属ベース901及び保護層902を、それぞれの熱膨張率にしたがって、異なる速度で膨張させる。このことが、せん断力(図29の垂直軸)を発生させ、該せん断力は、シーリング電極900の温度が90℃の作動温度に達するまで、該電極温度によって増加する。該問題は、シーリング電極900を、該作動温度をかなり上回って、例えば、約150℃の焼成温度までよく加熱することを要するが、上記リアクタの焼成プロセスが実行されるときに悪化する。図29に示すように、該シーリング電極に関するせん断力は、該温度が作動温度から焼成温度まで増加したときに、ほぼ倍増する。シーリング電極900が破壊される傾向があるのは、(せん断力がほぼ倍増する)この後者の温度偏位の間である。
[158]この問題は、接着接合層918を、高温で、好ましくは、該シーリング電極が受ける、最大及び最小温度極値間の約中間の温度で硬化することにより解決される。図29に図示する場合において、該接合接着層は、90℃の作動温度に近い高温で硬化される。図29に945で標示した曲線によって図示するように、接着接合層918を中間温度で硬化させることにより、シーリング電極900が受ける最大せん断力は、約2倍低減され、すなわち、室温で負のせん断力まで、あるいは、焼成温度で正のせん断力まで低減され、そのどちらもほぼ同じであるが、逆方向であり、また、どちらも、該接合接着層が低温で硬化する、940で標示した曲線の場合で生成される最大せん断力の約半分よりも大きくない。
[159]接着接合層918をそのような中間温度で硬化させる方法は、破壊の問題を解決するだけではなく、接着接合層918からガス抜きすることによる汚染を防ぐ、又は、低減する、上記リアクタの別の方法を実行することも可能にする。この後者の方法によれば、接着接合層918が硬化して冷却された後で、シーリング電極900がプラズマ処理に使用される前に、該電極は、接着接合層918からの高揮発性の生成物の全てを少なくともほぼ煮沸又は蒸発させるために、十分長い時間、可能な限り高い温度(例えば、150℃の焼成温度)まで加熱される。この蒸発方法の一実施形態においては、該シーリング電極は、製品ウェハのプラズマ処理に用いられる前に、少なくとも8時間、150℃に保持された。この焼成プロセスは、該シーリング電極の寿命の間に一度だけ実行されるため、該接着層は、最大ストレスを一度だけ受け、それにより、該シーリング電極の寿命の間、該接着層のせん断応力疲労が回避される。
[160]上記リアクタの1つの特徴は、その構造が、長時間のウェハ処理中に蓄積された全ての汚染を除去する定期的なウェット洗浄処置の影響を受けやすくするということである。この特徴は、該シーリング電極の寿命を延ばし、その寿命が、収益性の高い用途により、製作コストを回収するのに十分であるという意味で、商売上実用的になる。この特徴の下にある構造上の特徴は、次のこと、すなわち、
該ウェット洗浄プロセスが、該接合層の全域で、最小(又はゼロ)せん断応力という条件下で実行されるような、上記接着接合層の、ウェット洗浄プロセスに適した高温での硬化と、
CFポリマーを除去し、アルコールで洗い流し、110℃まで加熱する溶液中での浸漬の影響を受けやすい、上記シーリング電極を構成するセラミック炭化ケイ素/アルミニウム材料物質と、
シリコン又はシリコン酸化物を除去するために、(HF及び/又はH2NO3等の)酸に浸漬することができ、あるいは、そのような酸できれいにすることができる巨大なセラミック炭化ケイ素層と、
ガス流路を通る高圧ガスパージが、捕捉した残留物を少しも残すことなく、全ての汚染材料物質を最終的に除去できるような、該シーリング電極を完全に通って伸びるフロースルー式再入不可のガス流路とを含む。
[161]ウェット洗浄プロセスは、該シーリング電極の上記プラズマリアクタからの取外し、及び、以下に説明する固定具への設置によって始まる。そして、該シーリング電極全体は、該シーリング電極に蓄積した全てのフッ素樹脂を除去するのに十分な時間、室温で、アセトン等の溶剤に浸漬される。次の工程は、該シーリング電極のセラミック炭化ケイ素層(のみ)を、該シーリング電極に蓄積した全てのシリコン酸化物又はシリコン材料物質を除去するのに十分な時間、HF又は硝酸又はそれら両方の混合物等の酸にさらすことである。このことは、該セラミック層をきれいにすることにより、又は、該シーリング電極の該セラミック部分のみを酸に浸漬することにより、実行することができる。この工程も室温で実行される。該シーリング電極は、該シーリング電極の一方の面を加圧すると共に、ガスが該シーリング電極の他方の面から抜けることを可能にすることにより、室温で、窒素又は乾燥空気を用いてパージされる。最後に、全ての水分が該シーリング電極から蒸発するまで、該シーリング電極を上記接着層のおおよその硬化温度まで加熱することにより、水分が該シーリング電極から除去される。この最後の工程は、該温度(100℃)は、せん断応力がおおよそゼロである、該接着層の硬化温度であるため、該接着層の全域で、最少量のせん断応力を発生させる。その結果として、該ウェット洗浄プロセスは、該接着層に対して、ごくわずかな量のせん断応力のみを与え、またそのため、該シーリング電極の寿命を大幅に損なうことなく、又は、該接着層を過度に疲労させることなく、該シーリング電極の寿命の間、該プロセスを何度も繰り返すことができる。
[162]上記焼成方法及びウェット洗浄方法のいずれか一方又は両方を実行する固定具を図30に図示する。密閉チャンバ950は、側壁954に支持されたリング952を有し、リング952は、シーリング電極900を収容して固着することができる。加熱流体源955及び(任意の)冷却流体源956は、該電極温度を約150℃に保有する温度制御を実行するために、加熱及び冷却流体を、シーリング電極900の加熱及び冷却プレート903、904に供給する。ガス供給部958は、シーリング電極900の頂部に、すなわち、シーリング電極900のガス分布マニホールド909内に高いガス圧力を発生させるポンプ959にガスを供給する。排気ポート960は、シーリング電極900の底部を通って注入されたガスを除去する。
[163]図31は、一般的に平坦なオーバーヘッド電極を有する、図16〜図20に開示したタイプのリアクタにおけるウェハ支持ペデスタル上に保持されたウェハの表面にわたる半径の関数としてのプラズマイオン密度を図示する。該イオン密度(垂直軸)は、任意の倍率を使用してプロットされており、酸化プロセスにおけるアッシングレートから推測された。図31のグラフは、該ウェハの縁部におけるプラズマイオン密度が、該ウェハの中心部におけるイオン密度の55%以下であることを示している。
[164](該縁部よりも該中心部においてより高い階段状形状を有する)図32、又は、(該縁部よりも該中心部においてより高い湾曲形状を有する)図33に図示するタイプのガス分布オーバーヘッドソースパワーシーリング電極は、イオン分布の非均一性を約2倍だけ低減する(該均一性を改善する)ことができる。このことは、図32の階段状シャワーヘッド電極によってもたらされる、半径方向の位置の関数としての該ウェハ表面におけるエッチングレートを示す図34のグラフに示されている。図34におけるエッチングレートは、パワー密度分布から推測した。同様のエッチングレート分布は、図33の湾曲したシャワーヘッド電極によってもたらされる。図32又は図33の湾曲又は階段状のシャワーヘッド電極において、エッチングレートの中心・縁部間の偏差は、20%まで低減され、それは、上記平坦な電極によってもたらされる、偏差(55%)の半分よりも小さい。これは、図32及び図33の階段状又は湾曲した中心部が高いシャワーヘッド電極が、該中心部よりも該ウェハ縁部において、より小さなウェハ・シーリング間のギャップ内にプラズマを閉じ込め、それにより、該縁部近傍において、プラズマイオン密度を高めるためである。このような結果は、該電極の底部面において、比較的高い曲率又は急勾配の階段状部分を要する。例えば、図32の階段状電極の1つの実施においては、直径が約300mmであり、該ウェハ縁部におけるウェハ・シーリング間ギャップは25mmであり、これは、約12mmの中心部・縁部間のギャップ差である。図33の湾曲したシーリングの1つの実施においては、該中心部・縁部間のギャップ差は、15mmである。このような高い曲率又は急勾配の階段状部分によって、図16〜図20のガスシャワーヘッド電極を製造することは、実用的ではない。特に、多数の図18の狭い環状ガス注入開口部908の製造、及び、多数の圧入プラグを用いる図19の複雑なアセンブリの製造は、極端に高いコストを必要とするであろう。
[165]本リアクタは、アーク放電の問題を解決するために、図16〜図20のアプローチから外れる。アーク放電を抑えるために、各開口部における径方向の電界が高さを伴って低下する割合を高めるために、図18の各ガス開口部の狭い環状形状が必要であったことが思い出されるであろう。本リアクタにおいて、発明者等は、非常に小さなオリフィスにおける供給・真空間のガス圧力低下が、高い径方向電界の領域の上の十分な高さに達成されるのであれば、単純な円筒形穴内の径方向電界の低下は、アーク放電を抑えるのに十分であることを見出した。そのため、本リアクタは、(実質的に全ての供給・真空間のガス圧力低下が、高い径方向電界の領域の上で発生するように)ガス出口穴のかなり上流で、非常に小さな圧力低下オリフィスによって供給される(プラズマ近傍の高い径方向電界の領域において、高いガス圧力を回避するために)該電極表面に、比較的大きな円筒形ガスアウトレット穴を用いる。(図18の環状ガスアウトレットの代わりに)円筒形穴ガスアウトレットを用いる利点は、円筒形穴を、図32の階段状電極の底部面や図33の湾曲電極の底部面等のかなり湾曲又は急勾配の階段状面に容易に製造することができることである。
[166]各アウトレット穴内でのアーク放電又はプラズマ放電を抑制する際に助けとなる特徴は、(1)プラズマ又はプラズマ近傍の高い径方向電界領域内での高い圧力を回避するための(大径の)該ガスアウトレット穴の高コンダクタンスと、(2)ガスが該ガスアウトレット穴に達する前に、ガス圧力を供給圧力からチャンバ真空圧力まで低下させるための、(小径の)該圧力低下オリフィスの低コンダクタンスと、(3)該圧力低下オリフィス内の径方向電界を最小化するための、該プラズマが面する電極面の上の該圧力低下オリフィスの十分な軸方向高さとを含む。この軸方向高さは、ガス圧力及び径方向の電界強度の組合せが、図23のパッシェン曲線によって画成されるアーク放電しきい値を超えるのを防ぐのに十分である。具体的には、該圧力低下オリフィス内で、該プラズマが面する電極面上の軸方向高さは、圧力・電界の組合せが図23のパッシェン曲線の下の高圧力・低電界位置930に対応する各オリフィス内でそのような低い径方向電界を発生させるのに十分である。該圧力低下オリフィスのこの軸方向高さは、高レベルのプラズマRF又はVHFソースパワー、典型的には、数千ワットでの条件を満たすのに十分である。該圧力低下オリフィスに要する軸方向高さは、約50〜70mm程度とすることができ、この場合、該電極は、主として、例えば、アルミニウム等の金属で形成される。(図23のパッシェン曲線は、水平軸に「圧力」のディメンションを使用し、これは、ガス穴全体の長さに沿って均一な径を有するガス穴に便利である。しかしながら、このディメンションは、実際には、その径が該穴の長さに沿って変化する穴に対する「圧力時間径」である。
[167]図32は、図15のリアクタの変更例を図示し、該オーバーヘッド電極は、プラズマに面している中央の高い階段状面をプラズマを有する。図32の図面においては、図15の加熱及び冷却プレート903、904は、単純化のため省略されている。次に、図32を参照すると、階段状ガスシャワーヘッド電極3210のプロセスゾーンに面する面は、円筒形の中心平坦面3210aと中心平坦面3210aの高さから連続的に下がっていく平坦な環状外側面3210b、3210cとを含む。3つの階段状面は、図32の実施形態に用いられているが、いかなる適切な数も用いることができる。階段状面3210a、3210bは、環状斜行及び弓形遷移面3212aによって円滑につながっている。階段状面3210b、3210cは、環状斜行及び弓形遷移面3212bによって円滑につながっている。
[168]これらの面の各々は、該面内に穿孔された多数のガスアウトレット穴3214を有し、それらの穴の径は比較的大きく、約50ミル(千分の50インチ)程度である。次に、図35の拡大断面図を参照すると、各アウトレット穴3214は、より大きな上方穴3216内に伸びている。再び図32について説明すると、薄い内側及び外側プレナム3218−1、3218−2は、電極3210の内側及び外側環状ゾーンの径方向にわたって伸びている。内側及び外側プレナム3218−1、3218−2は、ガスフローを該内側及び外側プレナムに対して別々に調整することにより、ガスフローの径方向分布を調整することができるように、ガスアウトレットポートの内側群と外側群とを分けるように、(以下に説明するようなやり方で)結合されている。図35の拡大図は、内側プレナム3218−1のみを示す。総合して、内側及び外側プレナム3218−1、3218−2は、電極3210の上方部分3210−1と下方部分3210−2との間の境界を画成すると考えることができる。再び図35の拡大図を参照すると、上方電極部分3210−1の頂部のガスマニホールド3220は、約200〜300ミルの大径を有する高コンダクタンス流路3224を通って、径方向に拡がるプレナム3218に結合されている非常に小さな圧力低下オリフィス3222にガスを供給する。圧力低下オリフィス3222は、小さく細長い軸方向に伸びる円筒形穴である。1つの実施において、10ミル程度であったそれらの直径は、下方電極区分3210−2におけるアウトレット穴3214、3216を通ったガスコンダクタンスが十分大きいとすれば、ガス圧力を、供給圧力から、少なくともほぼ該リアクタチャンバ内の真空圧力まで低下させるのに十分小さい。このため、ガスアウトレット穴3214、3216は、約50ミル程度の比較的大径である。同様に、径方向に拡がる薄いプレナム3218−1、3218−2の各々は、(該オーバーヘッド電極の面積の半分程度の面積を有する)十分大きな平坦領域を有し、その結果、各プレナム3218−1、3218−2の小さな高さにもかかわらず、各プレナム3218−1、3218−2内のガスコンダクタンスは、該プレナム内での圧力勾配を回避するのに、同様に高い。
[169]小さなオリフィス3222の高圧領域とプラズマとの間の軸方向の分離を確実にするために、圧力低下オリフィス3222は、中央内側電極面3210aの上で、約70mm程度である。この軸方向のずれ(図35のD)は、電極3210の階段状構成により、該ウェハ縁部近傍の外側電極面3210cにおいてかなり大きくなっている。階段状面3210a、3210b、3210cの各々の異なる軸方向高さに適応するために、中間のガス穴3216の軸方向長さは、径方向位置の関数として、最外面3210cにおける最大長から内側又は中央面3210aにおける最小長の範囲で変化する。該電極のプラズマに面する面の上の圧力低下オリフィス3222の十分な最小軸方向ずれを保障するために、オリフィス3222によってガスが供給される大きなガス流路3224は、ガスアウトレット3214内でのアーク放電又はプラズマ崩壊を防ぐ、上述した条件を満たすのに要する最小ずれとほぼ同程度の軸方向長さを有する。この距離は、約70mmである。この距離のもっとも望ましい選択は、該電極に印加されるRF又はVHFソースパワーレベルにより、及び、該真空チャンバ内のガス圧力により、変えてもよい。
[170]電極3210は、例えば、アルミニウム等の金属で形成することができる。図35に示す薄い炭化ケイ素保護層902’は、電極3210の底部面に付着させることができる。炭化ケイ素保護層902’は、図35の炭化ケイ素保護層902’が環状ガスアウトレット(図18)を有さず、代わりに、電極3210のガスアウトレット穴3214に合い、かつ該穴と見当合わせされているガスアウトレットのための円筒形状穴3310を有していることを除いて、図20の炭化ケイ素保護層902に対応する。炭化ケイ素保護層902’のガスアウトレット穴3310の単純な円筒形状は、図32の急勾配の階段状部分又は図33の鋭い曲率によって炭化ケイ素保護層902’を製造することを実際的にするものである。急勾配の又は鋭いという用語は、比較的大きな中心・縁部間のギャップ差を指し、該ギャップ差は、図32及び図33の実施例においては、300mm径に関して12mm程度、又は、約4%であった。
[171]図36を参照すると、炭化ケイ素保護層902’は、図20を参照して論じたタイプの接着材料物質3315によって、電極3210の底部面(すなわち、下方電極区分3210−2の底部面)に接合されている。軸方向ポスト3320は、該電極の底部から伸びており、また、電極3210と保護層902’との間の間隔を決める高さを有する。電極3210は、該底部から下方へ突出し、かつ接着剤3315をプラズマから少なくとも部分的に保護するように各ガスアウトレット3214を囲む、軸方向に伸びる肩部3325を有することができる。
[172]図33は、図33のオーバーヘッド電極の底部面3210a’が階段状ではなく、中央が高い曲率を有する連続的な弓形面であることを除いて、図32のリアクタと同様のリアクタを図示する。図37のグラフに示すように、図33の電極を構成する際には、異なる曲率を用いてもよい。図37は、A及びBで標示された2つの異なる実施形態のミリメートルで表した半径の関数としての(炭化ケイ素保護層902’の底部で測定した)ミリメートルで表した電極の高さを描写するグラフである。Aで標示された曲線は、一定の曲率半径を有する実施形態を描写する。他の実施形態は、多種な半径のドームとすることができる。Bで標示された曲線は、該電極の最外の10%が平坦である実施形態を描写する。図38は、半径の関数としてのエッチングレートを描写するグラフである。図38のCで標示された曲線は、図37の湾曲した電極構成Aによって得られた結果を表し、一方、Dで標示された曲線は、平坦な縁部環を有する、図37の湾曲した電極構成Bによって得られた結果を表す。図38は、平坦化された電極縁部デザイン(図37のB)が、該ウェハ縁部近傍のエッチングレートの非均一性を低減することを指し示す。図37の両構成A及びBの曲率は、中心・縁部間の高さの差が、該電極の直径の約27%であるようになっている。この高さの差は、例えば、該電極の直径の約20%〜100%の範囲とすることができる。
[173]リアクタを、特に好ましい実施形態に関して詳細に説明してきたが、該リアクタの真の趣旨及び範囲を逸脱することなく、変形例及び変更例が実施可能であることは理解されよう。
プラズマリアクタの一部側面断面図である。 図1の同軸突出部を図示する図である。 同軸突出部に沿った位置の関数としての電圧及び電流の定常波振幅を図示する図である。 より大きなマッチングスペースにおいて、より一定の供給されたVHFパワーレベルを保有するための高プラズマ負荷インピーダンスに応じて発生する、同軸突出部上の入力パワーのタップポイントにおける電流の減算を図示する。 より大きなマッチングスペースにおいて、より一定の供給されたVHFパワーレベルを維持するための高プラズマ負荷インピーダンスに応じて発生する、同軸突出部上の入力パワーのタップポイントにおける電流の加算を図示する。 図1の実施形態の周波数の関数としての低Q反射係数を図示するグラフである。 同軸突出部上の入力パワータップポイントにおける電流貢献と、突出部の長さに沿った定常波電流及び電圧との相互作用を図示するグラフである。 図1の同軸突出部の代替の実施形態を図示する。 更なる実施形態を図示する。 図8に対応する拡大図である。 図9の拡大図である。 図8の別の拡大図である。 また別の実施形態を図示する。 図12に対応する上面図である。 図13のリアクタの代替の実施形態に対応する上面図である。 図8と同様であるが、天井電極が、比較的不変のRF損失を伴う保護半導体被覆を有し、かつアーク放電に対して耐性があるプラズマリアクタを図示する。 図15の天井電極のベースの平面図である。 図15の天井電極の保護半導体層の平面図である。 天井電極内のガス分布流路として機能するアーチ形スロットを図示する、図15の天井電極の一部の拡大図である。 図15の天井電極の一部の側面拡大断面図である。 図19の拡大図である。 図15の天井電極の冷却プレートの平面図である。 図15の天井電極の加熱プレートの平面図である。 電界強度と、図15の天井電極の内部ガス流路内でのプロセスガスのアーク放電を可能にする圧力レベルとの組合せしきい値を描写する曲線を含むグラフである。 図15の天井電極のアーチ形スロット又はガス流路内での軸方向高さの関数としての圧力降下を図示する。 図15の天井電極のアーチ形スロット又はガス流路内での軸方向高さの関数としての電界分布を図示する 保護半導体層の異なる厚さに対する該半導体層の損失正接の関数としての図15の天井電極の保護半導体層におけるRF電力損失を図示するグラフである。 半導体層の損失正接の異なる値に対する温度の変動を伴う保護半導体層におけるRF電力損失の変動を描写するグラフである。 半導体層の損失正接の異なる値に対する該半導体層の厚さの変動を伴う保護半導体層におけるRF電力損失の変動を描写するグラフである。 異なる温度で硬化した接合層に対する温度の関数としての、せん断力方向と、電極ベースと保護半導体層との間の接合層にわたる大きさとを描写するグラフである。 図15の天井電極を洗浄し、清浄化する装置を図示する。 平面を有する、図1〜図30を参照して開示したタイプのオーバーヘッドVHFガス分布電極を用いて得られた、半導体ウェハ上のエッチングレートの径方向分布を図示するグラフである。 階段状面を有するオーバーヘッドガス分布電極の一実施形態を描写する。 湾曲面を有するオーバーヘッドガス分布電極の一実施形態を描写する。 図32及び図33の成形電極を使用して得られたエッチングレートの径方向分布を図示するグラフである。 図32又は図33のいずれかの電極の一部の拡大断面図である。 図35の一部の拡大断面図である。 図33による成形電極の異なる実施形態に対する電極面の高さの径方向の分布を図示するグラフである。 図37で表したそれぞれの実施形態を用いて得られたエッチングレートの径方向分布を図示するグラフである。
符号の説明
100…リアクタチャンバ、110…半導体ウェハ、125…オーバーヘッド電極、135…同軸突出部、140…内側円筒形コンダクタ、145…外側同心円筒形コンダクタ、150…高周波電源、160…タップ。

Claims (48)

  1. プラズマリアクタの真空チャンバ内の支持ペデスタル上の被加工物を処理する前記プラズマリアクタにおける、前記リアクタのシーリングの少なくとも一部を形成する、径方向に伸びるガス分布電極であって、前記リアクタのRFプラズマソースパワーアプリケータであり、かつ前記リアクタの処理ゾーンに面する底部面を有するガス分布電極において、 前記電極の頂部において、供給圧力でプロセスガスを受け入れるガス供給マニホールドと、
    各オリフィスの一端部において、前記ガス供給マニホールドから前記電極に対して軸方向に伸びる複数の圧力低下円筒形オリフィスと、
    前記電極の全域で径方向に伸びる、前記電極内の径方向ガス分布マニホールドと、
    前記複数の圧力低下オリフィスのそれぞれの対向端部を前記径方向ガス分布マニホールドに結合する複数の軸方向に伸びる高コンダクタンスのガスフロー流路と、
    前記径方向ガス分布マニホールドに軸方向に伸びる前記電極の前記底部面に形成された複数の高コンダクタンスの円筒形のガスアウトレット穴と、を備える、電極。
  2. 前記電極の前記底部面が、
    (a)湾曲面と、
    (b)階段状の面
    とのうちの一方を備える非平坦面である、請求項1に記載の電極。
  3. 前記非平坦底部面が、中央が高い面である、請求項2に記載の電極。
  4. 前記非平坦底部面が、前記電極の直径の約20%〜100%の中央・縁部間の高さの差を有する、請求項3に記載の電極。
  5. 前記底部面を被覆する保護層を更に備え、前記保護層が、プロセスに影響を及ぼさない材料物質で形成されており、前記ガスアウトレット穴が前記保護層を貫通して続いている、請求項3に記載の電極。
  6. 前記保護層が、半導体含有材料物質を備える、請求項5に記載の電極。
  7. 前記半導体含有材料物質が炭化ケイ素を備える、請求項6に記載の電極。
  8. 前記半導体含有材料物質が、
    (a)シリコン、
    (b)炭素、
    (c)ゲルマニウム
    のうちの少なくとも1種を備える、請求項6に記載の電極。
  9. 前記複数の圧力低下オリフィスが、前記ガスアウトレット流路の直径の5分の1程度である、請求項1に記載の電極。
  10. 前記圧力低下オリフィスの直径が、10ミル程度である、請求項9に記載の電極。
  11. 前記高コンダクタンスのガスフロー流路が、前記底部面上の前記圧力低下オリフィスの最小軸方向ずれを画成する軸方向長さを有する、請求項1に記載の電極。
  12. 前記最小ずれが、前記圧力低下オリフィス内でのアーク放電又はプラズマ崩壊を防ぐのに十分である、請求項11に記載の電極。
  13. 前記最小ずれが、各オリフィス内の径方向の電界を十分低く保有するのに十分であり、それにより、ガス圧力と、前記オリフィス内の径方向の電界の値の組合せが、プラズマ崩壊に対して不十分である、請求項11に記載の電極。
  14. 前記高コンダクタンスのガスフロー流路が、約70mm程度の軸方向長さを有する、請求項1に記載の電極。
  15. 前記圧力低下オリフィスが十分に小さいガスコンダクタンスを有し、前記ガスアウトレット穴が、前記圧力低下オリフィスの各々を介した圧力低下が、前記供給圧力と前記真空チャンバとの間の圧力差の少なくとも80%であるように、十分に高いガスコンダクタンスを有する、請求項1に記載の電極。
  16. 前記圧力低下オリフィスが、前記圧力低下オリフィス内の径方向電界が、前記ガスアウトレット穴内の最大径方向電界の10%よりも小さいように、前記底部面から軸方向に十分ずれている、請求項15に記載の電極。
  17. 前記底部面が、平坦な環状周辺部を有する、中央が高い湾曲面を備える、請求項1に記載の電極。
  18. プラズマリアクタの低圧処理ゾーンに面する底部面を有する、前記プラズマリアクタのガス分布金属電極であって、
    前記電極に対して軸方向に伸び、かつ各オリフィスの一端部において、供給圧力でプロセスガスを受け入れるように結合された、複数の圧力低下円筒形オリフィスと、
    前記底部面に形成され、かつ前記圧力低下オリフィスの低圧側に結合されている、複数の軸方向に伸びる高コンダクタンスの円筒形ガスアウトレット穴と、
    を備える、電極。
  19. 前記電極の底部面が、
    (a)湾曲面、
    (b)階段状の面
    のうちの一方を備える非平坦面である、請求項18に記載の電極。
  20. 前記非平坦底部面が、中央が高い面である、請求項19に記載の電極。
  21. 前記非平坦底部面が、前記電極の直径の約20%〜100%の、中心・縁部間の高さの差を有する、請求項20に記載の電極。
  22. 前記底部面を被覆する保護層を更に備え、前記保護層が、プロセスに影響を及ぼさない材料物質で形成されており、前記ガスアウトレット穴が、前記保護層を貫通して続いている、請求項21に記載の電極。
  23. 前記保護層が、半導体含有材料物質を備える、請求項22に記載の電極。
  24. 前記半導体含有材料物質が炭化ケイ素を備える、請求項23に記載の電極。
  25. 前記半導体含有材料物質が、
    (a)シリコン、
    (b)炭素、
    (c)ゲルマニウム
    のうちの少なくとも1種を備える、請求項23に記載の電極。
  26. 前記複数の圧力低下オリフィスが、前記ガスアウトレット流路の直径の5分の1程度である、請求項18に記載の電極。
  27. 前記圧力低下オリフィスの直径が、10ミル程度である、請求項26に記載の電極。
  28. 前記圧力低下オリフィスが、前記底部面に対して低減された径方向電界を有するように、前記底部面から十分に離れて配置されており、また、前記圧力低下オリフィスが、前記チャンバの真空圧力での圧力又は該真空圧力に近い圧力に対して、前記ガスアウトレット穴内の圧力を制限するように十分狭い、請求項18に記載の電極。
  29. 前記低減された径方向電界が、前記圧力低下オリフィス内でのアーク放電又はプラズマ崩壊を防ぐように十分小さい、請求項28に記載の電極。
  30. 前記圧力低下オリフィスが、十分に小さいガスコンダクタンスを有し、前記ガスアウトレット穴が、前記圧力低下オリフィスの各々を介した圧力低下が、前記供給圧力と前記真空チャンバとの間の圧力差の少なくとも80%であるように、十分に高いガスコンダクタンスを有する、請求項18に記載の電極。
  31. 前記圧力低下オリフィスが、前記圧力低下オリフィス内の径方向電界が、前記ガスアウトレット穴内の最大径方向電界の10%よりも小さいように、前記底部面から軸方向に十分にずれている、請求項30に記載の電極。
  32. 前記底部面が、平坦な環状周辺部を有する、中央が高い湾曲面を備える、請求項18に記載の電極。
  33. 真空チャンバと、
    前記チャンバのシーリングを形成し、かつ電極リアクタンスを有し、プラズマリアクタの低圧処理ゾーンに面する底部面を有する、ガス分布金属電極であって、
    前記電極に対して軸方向に伸び、かつ各オリフィスの一端部において、供給圧力でプロセスガスを受け入れるように結合された、複数の圧力低下円筒形オリフィスと、
    前記底部面に形成され、かつ前記圧力低下オリフィスの低圧側に結合された、複数の軸方向に伸びる高コンダクタンスの円筒形ガスアウトレット穴と、
    を備えるガス分布金属電極と、
    VHFソースパワー発生器と、
    前記発生器を前記電極に結合する固定インピーダンスマッチング要素と、
    前記VHFソースパワー発生器の周波数と同じか又はそれに近いプラズマ共振周波数で、前記チャンバ内のプラズマとの共振を形成するリアクタンスを有するVHF電極と、
    を備えるプラズマリアクタ。
  34. 前記固定インピーダンスマッチング要素が、前記共振周波数と同じか又はそれに近い共振周波数を有する、請求項33に記載のリアクタ。
  35. 前記固定インピーダンスマッチング要素が、チューニング突出部を備える、請求項34に記載のリアクタ。
  36. 前記固定インピーダンスマッチング要素が、ストリップライン回路を備える、請求項34に記載のリアクタ。
  37. 前記固定インピーダンスマッチング要素の前記共振周波数と、前記VHFソースパワー発生器の周波数とが、プラズマ共振周波数からオフセットされている、請求項34に記載のリアクタ。
  38. 前記電極の底部面が、
    (a)湾曲面、
    (b)階段状の面
    のうちの一方を備える非平坦面である、請求項33に記載の電極。
  39. 前記非平坦底部面が、中央が高い面である、請求項38に記載の電極。
  40. 前記非平坦底部面が、前記電極の直径の約20%〜100%の中心・縁部間の高さの差を有する、請求項39に記載の電極。
  41. 前記底部面を被覆する保護層を更に備え、前記保護層が、プロセスに影響を及ぼさない材料物質で形成されており、前記ガスアウトレット穴が、前記保護層を貫通して続いている、請求項33に記載の電極。
  42. 前記保護層が半導体含有材料物質を備える、請求項41に記載の電極。
  43. 前記半導体含有材料物質が炭化ケイ素を備える、請求項42に記載の電極。
  44. 前記半導体含有材料物質が、
    (a)シリコン、
    (b)炭素、
    (c)ゲルマニウム
    のうちの少なくとも1種を備える、請求項42に記載の電極。
  45. 前記複数の圧力低下オリフィスが、前記ガスアウトレット流路の直径の5分の1程度である、請求項33に記載の電極。
  46. 前記圧力低下オリフィスの直径が、10ミル程度である、請求項26に記載の電極。
  47. 前記圧力低下オリフィスが、前記底部面に対して低減された径方向電界を有するように、前記底部面から十分に離れて配置されており、また、前記圧力低下オリフィスが、前記チャンバの真空圧力における圧力又はそれに近い圧力に対して、前記ガスアウトレット穴内の圧力を制限するように十分狭い、請求項33に記載の電極。
  48. 前記低減された径方向電界が、前記圧力低下オリフィス内でのアーク放電又はプラズマ崩壊を防ぐのに十分小さい、請求項47に記載の電極。
JP2008183112A 2005-01-28 2008-07-14 低アーク放電性、円筒形ガスアウトレット及び成形表面を有するプラズマリアクタ・オーバヘッド・ソースパワー電極 Withdrawn JP2009004796A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/046,538 US7196283B2 (en) 2000-03-17 2005-01-28 Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2006019544A Division JP4813908B2 (ja) 2005-01-28 2006-01-27 低アーク放電性、円筒形ガスアウトレット及び成形表面を有するプラズマリアクタ・オーバーヘッド・ソースパワー電極

Publications (2)

Publication Number Publication Date
JP2009004796A true JP2009004796A (ja) 2009-01-08
JP2009004796A5 JP2009004796A5 (ja) 2009-03-12

Family

ID=36608747

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006019544A Active JP4813908B2 (ja) 2005-01-28 2006-01-27 低アーク放電性、円筒形ガスアウトレット及び成形表面を有するプラズマリアクタ・オーバーヘッド・ソースパワー電極
JP2008183112A Withdrawn JP2009004796A (ja) 2005-01-28 2008-07-14 低アーク放電性、円筒形ガスアウトレット及び成形表面を有するプラズマリアクタ・オーバヘッド・ソースパワー電極

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2006019544A Active JP4813908B2 (ja) 2005-01-28 2006-01-27 低アーク放電性、円筒形ガスアウトレット及び成形表面を有するプラズマリアクタ・オーバーヘッド・ソースパワー電極

Country Status (7)

Country Link
US (1) US7196283B2 (ja)
EP (1) EP1691396A3 (ja)
JP (2) JP4813908B2 (ja)
KR (1) KR100854620B1 (ja)
CN (1) CN1812684A (ja)
MY (1) MY137871A (ja)
TW (1) TWI348334B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016095520A (ja) * 2011-05-05 2016-05-26 株式会社半導体エネルギー研究所 表示装置
JP2018131664A (ja) * 2017-02-16 2018-08-23 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の再生方法
KR20230066062A (ko) 2020-09-15 2023-05-12 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치 및 반도체 디바이스의 제조 방법

Families Citing this family (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
JP3897620B2 (ja) * 2002-03-14 2007-03-28 三菱重工業株式会社 高周波電力供給構造およびそれを備えたプラズマcvd装置
US7507670B2 (en) * 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
ITMI20050962A1 (it) * 2005-05-25 2006-11-26 Lpe Spa Dispositivo per introurre gas di reazione in una camera di reazione e reattore epitassiale che lo utilizza
US20070029283A1 (en) * 2005-08-02 2007-02-08 Micron Technology, Inc. Etching processes and methods of forming semiconductor constructions
US7651585B2 (en) * 2005-09-26 2010-01-26 Lam Research Corporation Apparatus for the removal of an edge polymer from a substrate and methods therefor
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
CN101536154B (zh) * 2006-11-09 2010-08-11 株式会社爱发科 遮蔽膜的形成方法
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
KR101119627B1 (ko) 2007-03-29 2012-03-07 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8435379B2 (en) 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
US7582265B2 (en) * 2007-06-28 2009-09-01 Plasma Waste Recycling, Inc. Gas conduit for plasma gasification reactors
US7807222B2 (en) 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US8689734B2 (en) 2007-10-01 2014-04-08 Tel Solar Ag Deposition of active films
KR101381208B1 (ko) * 2007-11-20 2014-04-04 주성엔지니어링(주) 박막처리장치
US20090162261A1 (en) * 2007-12-19 2009-06-25 Kallol Baera Plasma reactor gas distribution plate having a vertically stacked path splitting manifold
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
SG187387A1 (en) * 2007-12-19 2013-02-28 Lam Res Corp Film adhesive for semiconductor vacuum processing apparatus
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
KR101553422B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8367965B2 (en) * 2008-08-28 2013-02-05 Hermes-Epitek Corp. Electrode design for plasma processing chamber
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
KR101587054B1 (ko) * 2009-11-23 2016-01-21 주성엔지니어링(주) 기판처리장치
US8920597B2 (en) * 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9543123B2 (en) 2011-03-31 2017-01-10 Tokyo Electronics Limited Plasma processing apparatus and plasma generation antenna
DE102011080620B4 (de) * 2011-08-08 2014-06-05 Siemens Aktiengesellschaft Verfahren für die Beschichtung eines Isolationsbauteils und Isolationsbauteil sowie elektrisch leitfähiges Heizkabel
TWI659674B (zh) * 2011-10-05 2019-05-11 應用材料股份有限公司 電漿處理設備及蓋組件
KR101854738B1 (ko) * 2012-01-09 2018-06-20 주성엔지니어링(주) 박막 증착 장치, 플라즈마 발생 장치, 및 박막 증착 방법
TWI439700B (zh) 2012-01-19 2014-06-01 Ind Tech Res Inst 用於具有雙導線之電力電纜線的電力感測裝置
US8932429B2 (en) * 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US9896769B2 (en) 2012-07-20 2018-02-20 Applied Materials, Inc. Inductively coupled plasma source with multiple dielectric windows and window-supporting structure
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP5723397B2 (ja) * 2013-02-18 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
CN104782234B (zh) * 2013-03-15 2017-07-14 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
US9416919B2 (en) * 2013-10-11 2016-08-16 Applied Materials, Inc. Compact hazardous gas line distribution enabling system single point connections for multiple chambers
US10456855B2 (en) 2013-11-13 2019-10-29 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
US11684995B2 (en) 2013-11-13 2023-06-27 Hypertherm, Inc. Cost effective cartridge for a plasma arc torch
US9981335B2 (en) 2013-11-13 2018-05-29 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
US11278983B2 (en) 2013-11-13 2022-03-22 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
US11432393B2 (en) 2013-11-13 2022-08-30 Hypertherm, Inc. Cost effective cartridge for a plasma arc torch
AU2015301727B2 (en) 2014-08-12 2020-05-14 Hypertherm, Inc. Cost effective cartridge for a plasma arc torch
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
JP6501493B2 (ja) 2014-11-05 2019-04-17 東京エレクトロン株式会社 プラズマ処理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
JP6542053B2 (ja) * 2015-07-15 2019-07-10 株式会社東芝 プラズマ電極構造、およびプラズマ誘起流発生装置
KR102586885B1 (ko) 2015-08-04 2023-10-06 하이퍼썸, 인크. 액체-냉각식 플라즈마 아크 토치용 카트리지
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105232144B (zh) * 2015-11-18 2017-07-21 南京亿高微波系统工程有限公司 一种用于耳鼻喉科手术的双极等离子系统及其控制方法
KR101798373B1 (ko) * 2016-05-03 2017-11-17 (주)브이앤아이솔루션 유도결합 플라즈마 처리장치의 유전체창 지지구조
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
CN108257840B (zh) * 2016-12-29 2021-03-30 中微半导体设备(上海)股份有限公司 一种等离子处理装置
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11239057B2 (en) * 2017-07-28 2022-02-01 Sumitomo Electric Industries, Ltd. Showerhead and method for manufacturing the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
EP3718129B8 (en) 2017-11-29 2023-07-19 Comet Technologies USA, Inc Retuning for impedance matching network control
JP7026498B2 (ja) * 2017-12-12 2022-02-28 東京エレクトロン株式会社 アンテナ及びプラズマ成膜装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
CN109961999B (zh) * 2017-12-22 2021-03-23 中微半导体设备(上海)股份有限公司 一种气体喷淋头及防止聚合物积聚的方法
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP7022312B2 (ja) * 2018-01-25 2022-02-18 日新電機株式会社 容量素子及びプラズマ処理装置
US11532464B2 (en) * 2018-02-15 2022-12-20 Applied Materials, Inc. Reactor design for large-area VHF plasma processing with improved uniformity
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
DE102018112938A1 (de) * 2018-05-30 2019-12-05 VON ARDENNE Asset GmbH & Co. KG Gasversorgung, Beschichtungsvorrichtung und Verfahren
WO2020027980A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Gas box for cvd chamber
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN112740367A (zh) * 2018-09-27 2021-04-30 东京毅力科创株式会社 基片处理装置和基片处理方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
KR20220053547A (ko) 2019-08-28 2022-04-29 코멧 테크놀로지스 유에스에이, 인크. 고전력 저주파 코일들
KR20210041354A (ko) * 2019-10-07 2021-04-15 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 기판 처리 장치
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
JP2023514548A (ja) * 2020-02-04 2023-04-06 ラム リサーチ コーポレーション プラズマ処理システムのためのrf信号フィルタ構成
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
CN116417321A (zh) * 2021-12-31 2023-07-11 中微半导体设备(上海)股份有限公司 一种测温结构、上电极组件及等离子体处理装置
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2967926A (en) 1958-03-10 1961-01-10 Union Carbide Corp Testing process and apparatus
US2951960A (en) 1959-03-24 1960-09-06 Tung Sol Electric Inc Gaseous discharge device
FR1402020A (fr) * 1964-04-27 1965-06-11 Csf Perfectionnements aux sources d'ions
US3610986A (en) 1970-05-01 1971-10-05 Union Carbide Corp Electron beam source including a pilot nonthermionic, electron source
US4570106A (en) 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
US4458180A (en) 1982-02-18 1984-07-03 Elscint Ltd. Plasma electron source for cold-cathode discharge device or the like
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5006760A (en) 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (de) 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner Hochfrequenz-ionenquelle
US4973883A (en) 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
US4888518A (en) 1987-11-16 1989-12-19 Itt Corporation Gas circulation apparatus for ceramic electron tubes
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
DE68926923T2 (de) 1988-03-16 1996-12-19 Hitachi Ltd Mikrowellenionenquelle
US5115167A (en) 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US5055853A (en) 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE3923661A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5032202A (en) 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5300460A (en) 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5223457A (en) 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
JPH04901A (ja) 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
EP0463408A3 (en) 1990-06-22 1992-07-08 Hauzer Techno Coating Europe Bv Plasma accelerator with closed electron drift
US5707486A (en) 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5376211A (en) 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
FR2667980A1 (fr) 1990-10-12 1992-04-17 Sodern Source d'electrons presentant un dispositif de retention de matieres.
US5246532A (en) 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
JP2501948B2 (ja) 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
CA2102201A1 (en) 1991-05-21 1992-11-22 Ebrahim Ghanbari Cluster tool soft etch module and ecr plasma generator therefor
US5432315A (en) * 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
US5198725A (en) 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
US5314603A (en) * 1991-07-24 1994-05-24 Tokyo Electron Yamanashi Limited Plasma processing apparatus capable of detecting and regulating actual RF power at electrode within chamber
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
TW249313B (ja) 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
EP0634778A1 (en) 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
US5849372A (en) 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
JPH07121862A (ja) 1993-10-27 1995-05-12 Sony Corp 塗布型磁気記録媒体
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
JP3279038B2 (ja) 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5512130A (en) 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
WO1995034916A1 (fr) 1994-06-15 1995-12-21 Seiko Epson Corporation Fabrication d'un equipement a semi-conducteurs a couches minces, equipement a semi-conducteurs a couches minces, afficheur a cristaux liquides et equipement electronique
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
IT1269413B (it) 1994-10-21 1997-04-01 Proel Tecnologie Spa Sorgente di plasma a radiofrequenza
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2956494B2 (ja) 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5576600A (en) 1994-12-23 1996-11-19 Dynatenn, Inc. Broad high current ion source
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100226366B1 (ko) 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5942074A (en) 1996-03-29 1999-08-24 Lam Research Corporation Single-piece gas director for plasma reactors
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5770922A (en) 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
JPH10134996A (ja) 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
JP3650248B2 (ja) 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
JP2929284B2 (ja) 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US5929717A (en) 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP4098711B2 (ja) * 2000-02-21 2008-06-11 株式会社日立製作所 プラズマ処理装置
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
JP4669137B2 (ja) * 2001-02-16 2011-04-13 東京エレクトロン株式会社 分割可能な電極及びこの電極を用いたプラズマ処理装置
US20020139477A1 (en) * 2001-03-30 2002-10-03 Lam Research Corporation Plasma processing method and apparatus with control of plasma excitation power

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016095520A (ja) * 2011-05-05 2016-05-26 株式会社半導体エネルギー研究所 表示装置
US10068926B2 (en) 2011-05-05 2018-09-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US10283530B2 (en) 2011-05-05 2019-05-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US11942483B2 (en) 2011-05-05 2024-03-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP2018131664A (ja) * 2017-02-16 2018-08-23 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の再生方法
WO2018151137A1 (ja) * 2017-02-16 2018-08-23 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の再生方法
US11133156B2 (en) 2017-02-16 2021-09-28 Mitsubishi Materials Corporation Electrode plate for plasma processing apparatus and method for regenerating electrode plate for plasma processing apparatus
KR20230066062A (ko) 2020-09-15 2023-05-12 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치 및 반도체 디바이스의 제조 방법

Also Published As

Publication number Publication date
TW200628021A (en) 2006-08-01
EP1691396A3 (en) 2009-05-06
MY137871A (en) 2009-03-31
KR20060087428A (ko) 2006-08-02
JP2006210929A (ja) 2006-08-10
TWI348334B (en) 2011-09-01
KR100854620B1 (ko) 2008-08-27
US7196283B2 (en) 2007-03-27
JP4813908B2 (ja) 2011-11-09
US20050178748A1 (en) 2005-08-18
EP1691396A2 (en) 2006-08-16
CN1812684A (zh) 2006-08-02

Similar Documents

Publication Publication Date Title
JP4813908B2 (ja) 低アーク放電性、円筒形ガスアウトレット及び成形表面を有するプラズマリアクタ・オーバーヘッド・ソースパワー電極
US7220937B2 (en) Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
KR100903535B1 (ko) 아킹 억제된 플라즈마에 튜닝되는 오버헤드 rf 전극을갖는 플라즈마 반응기
US11830747B2 (en) Plasma reactor having a function of tuning low frequency RF power distribution
KR101857284B1 (ko) 플라즈마 프로세싱 챔버를 위한 가동 접지 링
KR200487340Y1 (ko) 극단 엣지 튜닝성을 위한 연장형 및 독립형의 rf 전력공급형 음극 기판과 함께 사용하기 위한 프로세스 키트 구성요소들
KR100557273B1 (ko) 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기
KR200478935Y1 (ko) 플라즈마 처리 챔버를 위한 c-형상 한정 링
JP3171623U (ja) プラズマ処理チャンバの可動基板支持アセンブリ用の消耗絶縁リング
KR20100045979A (ko) 튜닝가능한 캐패시턴스를 갖는 플라즈마 프로세싱 시스템을 위한 방법 및 장치
US20170207099A1 (en) Power modulation for etching high aspect ratio features
WO2019199681A1 (en) Carbon hard masks for patterning applications and methods related thereto
US20220208521A1 (en) Plasma reactor

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090127

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090127

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090904