JP3171623U - プラズマ処理チャンバの可動基板支持アセンブリ用の消耗絶縁リング - Google Patents

プラズマ処理チャンバの可動基板支持アセンブリ用の消耗絶縁リング Download PDF

Info

Publication number
JP3171623U
JP3171623U JP2011005035U JP2011005035U JP3171623U JP 3171623 U JP3171623 U JP 3171623U JP 2011005035 U JP2011005035 U JP 2011005035U JP 2011005035 U JP2011005035 U JP 2011005035U JP 3171623 U JP3171623 U JP 3171623U
Authority
JP
Japan
Prior art keywords
ring
consumable
insulating ring
movable
consumable insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2011005035U
Other languages
English (en)
Inventor
マイケル・シー.・ケロッグ
アレクセイ・マラクタノフ
ラジンダー・ディンドサ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Application granted granted Critical
Publication of JP3171623U publication Critical patent/JP3171623U/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • H01L21/205
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K5/00Casings, cabinets or drawers for electric apparatus
    • H05K5/02Details
    • H05K5/0247Electrical details of casings, e.g. terminals, passages for cables or wiring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B5/00Non-insulated conductors or conductive bodies characterised by their form
    • H01B5/02Single bars, rods, wires, or strips
    • H01B5/04Single bars, rods, wires, or strips wound or coiled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01L21/203
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】プラズマ処理チャンバの可動基板支持アセンブリ用の消耗絶縁リングを提供する。【解決手段】可動基板支持アセンブリの消耗絶縁リングに関る。消耗絶縁リングは、固定接地リングの周囲にぴたりと嵌る可動接地リングの段上に支持されるように構成される。消耗絶縁リングは、可動基板支持アセンブリの誘電体リングから可動接地リングを電気的に絶縁するように構成される。【選択図】図1

Description

半導体技術の世代が進むにつれて、ウエハは直径が増す傾向にあり、トランジスタはサイズが縮小するので、ウエハ処理では、かつてないほど高レベルの精度および再現性が必要とされている。シリコンウエハなどの半導体基板材料は、プラズマ処理チャンバを使用して処理されるのが通常である。プラズマ処理技術は、スパッタリング蒸着、プラズマ助長化学気相成長(PECVD:Plasma enhanced chemical vapor deposition)、レジスト剥離、およびプラズマエッチングを含む。プラズマは、プラズマ処理チャンバ内の適切なプロセスガスに高周波(RF:radio frequency)電力を加えることによって生成されえる。プラズマ処理チャンバ内のRF電流のフローは、処理に影響を及ぼしうる。
プラズマ処理チャンバは、プラズマ生成のために、誘導結合(トランス結合)、ヘリコン、電子サイクロトロン共鳴、容量結合(平行平板)などの様々なメカニズムに依存することができる。例えば、高密度プラズマは、トランス結合プラズマ(TCP(登録商標):transformer coupled plasma)処理チャンバ内において、または電子サイクロトロン共鳴(ECR:electron cyclotron resonance)処理チャンバ内において発生させることができる。RFエネルギがチャンバ内へ誘導結合されるトランス結合プラズマ処理チャンバは、カリフォルニア州フリーモントのラムリサーチ社(Lam Research Corporation)から入手可能である。高密度プラズマを提供することができるハイフロープラズマ処理チャンバの一例が、参照によって本明細書に開示内容を組み込まれた同一人所有の米国特許第5,948,704号に開示されている。平行平板プラズマ処理チャンバ、電子サイクロトロン共鳴(ECR)プラズマ処理チャンバ、およびトランス結合プラズマ(TCP(登録商標))処理チャンバは、参照によって本明細書に開示内容を組み込まれた同一人所有の米国特許第4,340,462号、第4,948,458号、第5,200,232号、および第5,820,723号に開示されている。
例えば、プラズマは、参照によって本明細書に開示内容を組み込まれた同一人所有の米国特許第6,090,304号に記載されている二重周波数プラズマエッチングチャンバなどの平行平板プラズマ処理チャンバ内において発生させることができる。好ましい平行平板プラズマ処理チャンバは、上方シャワーヘッド電極と基板サポートとを含む二重周波数容量結合プラズマ処理チャンバである。例示のため、ここでは、平行平板プラズマ処理チャンバを参照にして実施形態の説明がなされる。
プラズマエッチングのための平行平板プラズマ処理チャンバが、図1に示されている。プラズマ処理チャンバ100は、チャンバ110と、入口ロードロック112と、必須の構成ではない出口ロードロック114とを含み、これらのさらなる詳細は、参照によって本明細書に全体を組み込まれた同一人所有の米国特許第6,824,627号に記載されている。
ロードロック112および(もし提供されるならば)ロードロック114は、ウエハなどの基板をウエハ供給部162からチャンバ110を通ってウエハ貯蔵部164へ出るように移動させるための移動装置を含む。ロードロックポンプ176は、ロードロック112,114内に所望の真空圧を提供することができる。
チャンバ110内を所望の圧力に維持するように、ターボポンプなどの真空ポンプ172が適応される。プラズマエッチング中、チャンバ圧力は制御され、好ましくはプラズマを維持するのに十分なレベルに維持される。高すぎるチャンバ圧力が、エッチングの停止の原因となりえて不利である一方で、低すぎるチャンバ圧力は、プラズマの消滅を招く恐れがある。平行平板プラズマ処理チャンバなどの中密度プラズマ処理チャンバでは、チャンバ圧力は、好ましくは、約200ミリトール未満(例:20〜50ミリトールのように100ミリトール未満)(ここで使用される「約」は、±10%を意味する)の圧力に維持される。
真空ポンプ172は、チャンバ内の圧力を制御するために、チャンバ110の壁にある出口につながれてバルブ173によってスロットル調整することができる。好ましくは、真空ポンプは、チャンバ110にエッチングガスが流れ込む間、チャンバ110内の圧力を200ミリトール未満に維持することができる。
チャンバ110は、上方電極125(例:シャワーヘッド電極)を含む上方電極アセンブリ120と、基板サポート150とを含む。上方電極アセンブリ120は、上方ケース130内に装着される。上方ケース130は、上方電極125と基板サポート150との間の間隔を調整するために、メカニズム132によって垂直方向に移動させることができる。
1つまたは複数のガスを含むプロセスガスを上方電極アセンブリ120に供給するために、ケース130にプロセスガス源170をつなぐことができる。好ましいプラズマ処理チャンバでは、上方電極アセンブリは、基板の表面に近接する領域にプロセスガスを供給するために使用することができるガス分配システムを含む。ガス分配システムは、1つもしくは複数のガスリング、注入器、および/またはシャワーヘッド(例:シャワーヘッド電極)を含むことができ、参照によって本明細書に開示内容を組み込まれた同一人所有の米国特許第6,333,272号、第6,230,651号、第6,013,155号、および第5,824,605号に開示されている。
上方電極125は、好ましくは、プロセスガスを分配するためのガス穴(不図示)を含むシャワーヘッド電極を含む。ガス穴は、0.02〜0.2インチ(約0.05〜0.5cm)の直径を有することができる。シャワーヘッド電極は、プロセスガスの所望の分配を促すことができる1枚または複数枚の垂直方向に互いに空間をあけて配されたバッフル板を含むことができる。上方電極および基板サポートは、グラファイト、シリコン、炭化シリコン、アルミニウム(例:陽極酸化アルミニウム)、またはこれらの組み合わせなどの任意の適切な材料で形成されてよい。上方電極アセンブリ120には熱伝達液体源174を、基板サポート150には別の熱伝達液体源をそれぞれつなぐことができる。
基板サポート150は、基板サポート150の上面155(支持面)上に基板を静電的にクランプするために、1つまたは複数の埋め込みクランプ電極を有することができる。基板サポート150は、RF源と、RF整合回路網などの付随回路網(不図示)とによって通電することができる。基板サポート150は、好ましくは温度制御され、随意として加熱構成(不図示)を含んでよい。加熱構成の例は、参照によって本明細書に組み込まれた同一出願人の米国特許第6,847,014号および第7,161,121号に開示されている。基板サポート150は、支持面155上にフラットパネルまたは200mmもしくは300mmのウエハなどの半導体基板を支持することができる。
基板の処理中にその基板の温度を制御するために、基板サポート150は、好ましくは、支持面155上に支持された基板の下にヘリウムなどの熱伝達ガスを供給するための通路を内部に含む。例えば、ヘリウム裏面冷却は、ウエハ温度を、基板上のフォトレジストの燃焼を阻止しえる十分な低さに維持することができる。基板と基板支持面との間の空間に加圧ガスを導入することによって基板の温度を制御する方法は、参照によって本明細書に開示内容を組み込まれた同一人所有の米国特許第6,140,612号に開示されている。
基板サポート150は、リフトピンを通すリフトピン穴(不図示)を含むことができ、これらのピンは、基板をチャンバ110に出し入れするために、適切なメカニズムによって垂直方向に作動され、基板を支持面155から持ち上げることができる。リフトピン穴は、約0.08インチ(約0.2cm)の直径を有する。リフトピン穴の詳細は、参照によって本明細書に開示内容を組み込まれた同一人所有の米国特許第5,885,423号および第5,796,066号に開示されている。
図2は、内部のRF電流の流路を図解するために、容量結合プラズマ処理チャンバ200のブロック図を示している。処理チャンバ200内において、基板206が処理されている。基板206をエッチングするためのプラズマを点火するために、チャンバ200内のプロセスガスにRF電力が加えられる。RF電流は、基板の処理中に、RF供給部222からケーブル224沿いにRF整合回路網220を通って処理チャンバ200に流れ込むことができる。RF電流は、経路240沿いに伝わってプロセスガスと結合することによって、底部電極204の上方に配置された基板206を処理するためのプラズマを閉じ込めチャンバ体積(confined chamber volume)210内に形成することが可能である。
プラズマの形成を制御するためにおよび処理チャンバ壁を保護するために、閉じ込めリング212が用いられてよい。典型的な閉じ込めリングの詳細は、ともに2009年8月31日に出願された同一人所有の米国仮特許出願第61/238656号、第61/238665号、第61/238670号、ならびに米国特許出願公開第2008/0149596号に記載されている。閉じ込めリング212は、シリコン、ポリシリコン、炭化シリコン、炭化ホウ素、セラミック、アルミニウムなどの導電性の材料で作成されてよい。通常、閉じ込めリング212は、プラズマを形成されるべき閉じ込めチャンバ体積210の周囲を取り巻くように構成されてよい。閉じ込めリング212に加えて、閉じ込めチャンバ体積210の周囲は、上方電極202、底部電極204、216および218などの1つまたは複数の絶縁体リング、エッジリング214、ならびに下方電極支持構造228によっても画定されてよい。
閉じ込め領域(閉じ込めチャンバ体積210)から中性ガス種を排出するために、閉じ込めリング212は、(スロット226a、226b、および226cなどの)複数のスロットを含んでよい。中性ガス種は、ターボポンプ234を通じて処理チャンバ200から押し出される前に、閉じ込めチャンバ体積210から処理チャンバ200の(該チャンバ体積の外側の)外部領域232を通過するであろう。
基板処理中に形成されたプラズマは、閉じ込めチャンバ体積210内に維持されることが望ましい。しかしながら、特定の条件下では、プラズマは、閉じ込めチャンバ体積210の外側で点火されることがある。一例として、高く加圧された環境では、(閉じ込めチャンバ体積210から処理チャンバ200の外部領域232へ排出されている)中性ガス種は、RF磁場に遭遇することがある。チャンバの外側におけるRF磁場の存在は、非閉じ込めプラズマ250の形成をもたらすことがある。
代表的な処理環境では、RF電流は、RF生成器から閉じ込めチャンバ体積210内へ、次いで電気接地へ流れる。チャンバ体積210から電気接地へのRF電流の流路は、RF帰還路と称される。図2を参照すると、RF帰還路242は、閉じ込めリング212群の内側に沿って流れるRF帰還電流を含むであろう。ポイント252では、RF帰還電流は、処理チャンバ200との橋渡しをするために、閉じ込めリング212の外側に沿って流れるであろう。チャンバ壁からは、RF帰還電流は、ストラップ230群をたどって下方電極支持構造228に向かうであろう。下方電極支持構造228の表面から、RF帰還電流は、RF整合220を通じてRF源222に戻るであろう。
以上からわかるように、経路242をたどることによって、RF電流は、閉じ込めチャンバ体積210の外側を流れて電気接地へ向かう。その結果、チャンバの外側領域において、RF磁場が生成されることがある。このようなRF磁場の存在は、処理チャンバ200の外部領域232において非閉じ込めプラズマ250の形成をもたらすことがある。
したがって、非閉じ込めプラズマの点火を回避しつつ短いRF帰還路を提供するための構成が望まれている。
ここで説明されるのは、隙間調整可能な容量結合プラズマ処理チャンバの消耗絶縁リングであって、該消耗得絶縁リングは、約14.8インチ(約37.6cm)の内径と、約15.1インチ(約38.4cm)の外径と、約0.3インチ(約0.76cm)の高さとを有する矩形断面と、120°ずつ角度をあけて配され消耗絶縁リングの下方外縁に配された3つの凹所とを有し、各凹所は、直径が約0.1インチ(約0.25cm)の半円筒状壁部分を有し、該半円筒状壁部分の中心軸は、消耗絶縁リングの中心軸から半径約7.5インチ(約19.1cm)に位置し、各凹所は、消耗絶縁リングの外面上に開いた直壁部分を有し、該直壁部分は、半円筒状壁部分の直径に等しい幅を有し、半円筒状壁部分につながれ、各凹所は、約0.09インチ(約0.23cm)の深さを有する。
典型的なプラズマ処理チャンバの概略図である。
容量結合プラズマ処理チャンバおよびその中のRF帰還路のブロック図である。
可動基板支持アセンブリが上方位置にあるときの典型的な隙間調整可能な容量結合プラズマ処理チャンバの部分断面図である。
可動基板支持アセンブリが下方位置にあるときの図3Aの典型的な隙間調整可能な容量結合プラズマ処理チャンバの部分断面図である。
可動基板支持アセンブリの可動接地リングの詳細を示した図である。 可動基板支持アセンブリの可動接地リングの詳細を示した図である。 可動基板支持アセンブリの可動接地リングの詳細を示した図である。
複数の凹所を伴う消耗絶縁リングの詳細を示した図である。 複数の凹所を伴う消耗絶縁リングの詳細を示した図である。 複数の凹所を伴う消耗絶縁リングの詳細を示した図である。
ここで説明されるのは、隙間調整可能な容量結合プラズマ処理チャンバにおいて可動基板支持アセンブリを取り巻く消耗絶縁リングである。図3Aおよび図3Bは、典型的な隙間調整可能な容量結合プラズマ処理チャンバ300の部分断面図を示している。チャンバ300は、可動基板支持アセンブリ310と、中心電極板303および環状外側電極304を含む上方電極と、環状外側電極304から外向きに延びる導電性の閉じ込めリング305とを含み、該閉じ込めリング305は、上方水平部分305aと、該上方水平部分305aの外端から下向きに延びる垂直部分305bと、該垂直部分305bの下端から内向きに延びる下方水平部分305cとを含み、該下方水平部分305cは、プラズマ処理チャンバ300からプロセスガスおよび反応副産物が押し出される半径方向に延びるスロットを含む。可動基板支持アセンブリ310が図3Aに示されるような上方位置にあるとき、下方水平部分305cの内端の下面は、可動接地リング400の上端との電気的接触を提供する。下方水平部分305cの内端の下面は、好ましくは、可動接地リング400との電気的接触を向上させるように適応された導電性のコーティングを含む。可動基板支持アセンブリ310が上方位置にあるときに、可動基板支持アセンブリ310上に支持された半導体基板のプラズマ処理が実施される。閉じ込めリング305は、下方水平部分305cの下に少なくとも1つのスロット付きリング(slotted ring)307を含むことができ、該スロット付きリング307は、放射状に延びるスロットを通るガスフロー伝導性(gas flow conductance)を調整するために、下方水平部分305cに対して回転可能である。図3Bは、下方位置にある可動基板支持アセンブリ310を示しており、この位置では、半導体基板を可動基板支持アセンブリ310上に移すことが可能である。
可動基板支持アセンブリ310は、可動接地リング400と、下方電極317と、半導体基板を上に静電的にクランプされた静電チャック(ESC)312と、ESC312を取り巻くプラズマ暴露面を有するエッジリング311と、エッジリング311を取り巻くプラズマ暴露面を有する誘電体リング306と、エッジリング311のすぐ下の少なくとも1つの絶縁体リング315と、誘電体リング306のすぐ下にあって絶縁体リング315を取り巻く導電性材料の固定接地リング340とを含む。可動接地リング400は、固定接地リング340上に支持された押し下げ可能なプランジャ350上に支持される。可動接地リング400は、可動基板支持アセンブリ310が上方位置へ移動されたときに閉じ込めリング305と電気的接触をなすように、固定接地リング340に対して垂直方向に移動可能である。可動基板支持アセンブリ310は、電気的に接地されたバイアスケース360上に支持されえる。
固定接地リング340は、底壁の外側部分内に、それぞれ円周方向に互いに隔てて配された3つのプランジャ支持孔を含むことができ、各プランジャ支持孔は、押し下げ可能なピンを収容したプランジャ支持ケースに対し、それらのピンの上端が底壁の上面の上方に延びるように噛み合う。
図4A〜図4Cは、可動接地リング400の詳細を示している。可動接地リング400は、環状の底壁402と、該底壁402の内周から上向きに延びる側壁401とを含む。側壁401は、可動接地リング400が固定接地リング340に対して垂直方向に可動であるように固定接地リング340の外周を取り巻くように構成された内面401aを有する。
図4Bに示されるように、可動接地リング400は、好ましくは、側壁401の上面401bから延びる垂直面440aと、内面401aと垂直面440aとの間に延びる水平面440bとによって、内面401aに段440を形成されている。図4Cに示されるように、水平面440bは、可動基板支持アセンブリ310が下方位置にあるときに側壁401の上端を誘電体リング306から電気的に絶縁するように適応された消耗絶縁リング320(図3A〜図3B)の下面の位置合わせ穴と嵌まり合う垂直ピン499を収容するように適応された複数の止まり穴440hを含む。
図5Aに示されるように、消耗絶縁リング320は、その下面に複数の中心合わせ凹所321を含む。凹所321は、段440の水平面440bの止まり穴440hから延びる垂直ピン499を収容するように構成され、各垂直ピン499は、対応する1つの中心合わせ凹所321内に位置する。
一実施形態では、図5A〜図5Cに示されるように、消耗絶縁リング320は、約14.8インチ(約37.6cm)の内径と、約15.1インチ(約38.4cm)の外径と、約0.3インチ(約0.76cm)の高さとを有する矩形断面を有する。120°ずつ角度をあけて配された3つの凹所321が、消耗絶縁リング320の下方外隅に配される。各凹所321は、直径が約0.1インチ(約0.25cm)の半円筒状壁部分321aを有する。半円筒状壁部分321aの中心軸は、消耗絶縁リング320の中心軸から半径約7.5インチ(約19.1cm)に位置する。半円筒状壁部分321aは、消耗絶縁リング320の外面上に開いた直壁部分321bにつながっている。直壁部分321bは、半円筒状壁部分321aの直径に等しい幅を有する。凹所321は、約0.09インチ(約0.23cm)の深さを有する。凹所321の全ての縁は、好ましくは、幅が約0.02インチ(約0.051cm)の45°の斜切り面(チャンファ、chamfer)を有する。凹所321は、消耗絶縁リング320と、好ましくはアルミニウムで作成される可動接地リング400との間の熱膨張係数の相違を調整するように、そして暴露されている温度範囲内で消耗絶縁リング320を可動接地リング400に対して中心合わせするように構成される。図3A、図3B、図4B、図4C、および図5Cに示されるように、消耗絶縁リング320が可動接地リング400の段440上に支持されているとき、消耗絶縁リング320の内面320bは、可動接地リング400の側壁401の内面401aと実質的に同一の広がりを持ち(coextensive)、消耗絶縁リング320の上面320aは、可動接地リング400の側壁401の上面401bと実質的に同一の広がりを持つ(coextensive)。
消耗絶縁リング320は、石英、シリコン、炭化シリコン、イットリア、アルミナ、またはスプレー塗布された金属などの、1つまたは複数の適切な材料から作成することができる。好ましくは、消耗絶縁リング320は、石英で作成される。
以上では、具体的な実施形態を参照にして消耗絶縁リングの詳しい説明がなされてきたが、当業者ならば、添付の特許請求の範囲から逸脱することなく各種の変更および修正がなされてよいこと、ならびに各種の均等物が採用されてよいことが明らかである。

Claims (6)

  1. 隙間調整可能な容量結合プラズマ処理チャンバの消耗絶縁リングであって、
    前記消耗得絶縁リングは、約14.8インチ(約37.6cm)の内径と、約15.1インチ(約38.4cm)の外径と、約0.3インチ(約0.76cm)の高さと、を有する矩形断面と、120°ずつ角度をあけて前記消耗絶縁リングの下方外縁に配された3つの凹所とを有し、
    各凹所は、直径が約0.1インチ(約0.25cm)の半円筒状壁部分を有し、前記半円筒状壁部分の中心軸は、前記消耗絶縁リングの中心軸から半径約7.5インチ(約19.1cm)に位置し、
    各凹所は、前記消耗絶縁リングの外面上に開いた直壁部分を有し、前記直壁部分は、前記半円筒状壁部分の直径に等しい幅を有し、前記半円筒状壁部分につながれ、
    各凹所は、約0.09インチ(約0.23cm)の深さを有する、消耗絶縁リング。
  2. 請求項1に記載の消耗絶縁リングであって、
    前記凹所の全ての縁は、幅が約0.02インチ(約0.051cm)の45°の斜切り面を有する、消耗絶縁リング。
  3. 請求項1に記載の消耗絶縁リングであって、可動接地リングの段上に支持されるように構成され、
    前記可動接地リングは、プラズマ処理を経る半導体基板を支持するように構成された可動基板支持アセンブリの固定接地リングの周囲に一致するように、そして前記固定接地リングへのRF帰還路を提供するように構成され、
    前記可動接地リングは、環状の底壁と、前記底壁の内周から上向きに延びる側壁とを含み、前記側壁は、前記可動接地リングが前記固定接地リングに対して垂直方向に可動であるように前記固定接地リングの外周を取り巻くように構成された内面を有し、
    前記段は、前記側壁の上面から延びる垂直面と、前記内面と前記垂直面との間に延びる水平面とによって形成され、前記水平面は、前記消耗絶縁リングの前記凹所と適合するピンを収容するように構成された複数の止まり穴を含む、消耗絶縁リング。
  4. 請求項1に記載の消耗絶縁リングであって、
    前記消耗絶縁リングが前記可動接地リングの前記段上に支持されているとき、前記消耗絶縁リングの内面は、前記可動接地リングの前記側壁の前記内面と実質的に同一の広がりを持ち、前記消耗絶縁リングの上面は、前記可動接地リングの前記側壁の前記上面と実質的に同一の広がりを持つ、消耗絶縁リング。
  5. 請求項1に記載の消耗絶縁リングであって、
    前記可動基板支持アセンブリの誘電体リングから前記可動接地リングを電気的に絶縁するように構成され、前記誘導体リングは、エッジリングを取り巻くプラズマ暴露面を有する、消耗絶縁リング。
  6. 請求項1に記載の消耗絶縁リングであって、
    石英、シリコン、炭化シリコン、イットリア、アルミナ、およびスプレー塗布された金属からなる群より選択される1つまたは複数の適切な材料で作成される消耗絶縁リング。
JP2011005035U 2010-06-30 2011-08-29 プラズマ処理チャンバの可動基板支持アセンブリ用の消耗絶縁リング Expired - Lifetime JP3171623U (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/828,098 2010-06-30
US12/828,098 US9171702B2 (en) 2010-06-30 2010-06-30 Consumable isolation ring for movable substrate support assembly of a plasma processing chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2011143642 Continuation 2011-06-29

Publications (1)

Publication Number Publication Date
JP3171623U true JP3171623U (ja) 2011-11-10

Family

ID=44650441

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011005035U Expired - Lifetime JP3171623U (ja) 2010-06-30 2011-08-29 プラズマ処理チャンバの可動基板支持アセンブリ用の消耗絶縁リング

Country Status (6)

Country Link
US (2) US9171702B2 (ja)
JP (1) JP3171623U (ja)
KR (1) KR200479295Y1 (ja)
CN (1) CN202307788U (ja)
DE (1) DE202011102439U1 (ja)
TW (1) TWM432139U (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012015514A (ja) * 2010-06-30 2012-01-19 Lam Res Corp プラズマ処理チャンバ用の可動接地リング

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8629370B2 (en) * 2010-06-08 2014-01-14 Applied Materials, Inc. Assembly for delivering RF power and DC voltage to a plasma processing chamber
US8847495B2 (en) * 2011-11-29 2014-09-30 Lam Research Corporation Movable grounding arrangements in a plasma processing chamber and methods therefor
WO2014003188A1 (ja) * 2012-06-25 2014-01-03 日本電気硝子株式会社 強化ガラス基板及びその製造方法
US20140060739A1 (en) * 2012-08-31 2014-03-06 Rajinder Dhindsa Rf ground return in plasma processing systems and methods therefor
TW201430996A (zh) * 2012-11-12 2014-08-01 Greene Tweed & Co Inc 用於在供基板處理之一真空腔室內之一環形組件的機械式夾具總成
CN103227091B (zh) * 2013-04-19 2016-01-27 中微半导体设备(上海)有限公司 等离子体处理装置
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US11217434B2 (en) * 2016-12-27 2022-01-04 Evatec Ag RF capacitive coupled dual frequency etch reactor
US20180323039A1 (en) * 2017-05-05 2018-11-08 Applied Materials, Inc. Active far edge plasma tunability
CN109023310B (zh) * 2017-06-12 2022-08-26 应用材料公司 用于半导体处理腔室隔离以实现减少的颗粒和改善的均匀性的方法和设备
KR102040281B1 (ko) * 2018-04-26 2019-11-04 주식회사 건테크 CVD-SiC 소재를 이용한 반도체 플라즈마 에칭 공정용 한정 링
ES2696227B2 (es) * 2018-07-10 2019-06-12 Centro De Investig Energeticas Medioambientales Y Tecnologicas Ciemat Fuente de iones interna para ciclotrones de baja erosion
US11600517B2 (en) * 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
CN111326382B (zh) * 2018-12-17 2023-07-18 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN113035679B (zh) * 2019-12-24 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
CN111501025B (zh) * 2020-04-23 2022-05-27 北京北方华创微电子装备有限公司 沉积设备
CN114203506B (zh) * 2020-09-18 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其方法
DE102021102570A1 (de) * 2021-02-04 2022-08-04 Carl Freudenberg Kg Erdungsring und Anordnung, die einen solchen Erdungsring umfasst
US20220359171A1 (en) * 2021-05-07 2022-11-10 Applied Materials, Inc. Apparatus for improved high pressure plasma processing

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US6140612A (en) 1995-06-07 2000-10-31 Lam Research Corporation Controlling the temperature of a wafer by varying the pressure of gas between the underside of the wafer and the chuck
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5885423A (en) 1996-03-29 1999-03-23 Lam Research Corporation Cammed nut for ceramics fastening
US5796066A (en) 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP3758579B2 (ja) * 2002-01-23 2006-03-22 信越半導体株式会社 熱処理装置および熱処理方法
US7396432B2 (en) * 2002-06-07 2008-07-08 Taiwan Semiconductor Mfg. Co., Ltd Composite shadow ring assembled with dowel pins and method of using
US7658816B2 (en) * 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
US8394229B2 (en) * 2008-08-07 2013-03-12 Asm America, Inc. Susceptor ring
JP5204673B2 (ja) * 2009-01-14 2013-06-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ分布の制御方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012015514A (ja) * 2010-06-30 2012-01-19 Lam Res Corp プラズマ処理チャンバ用の可動接地リング

Also Published As

Publication number Publication date
TWM432139U (en) 2012-06-21
US20120000605A1 (en) 2012-01-05
US20160050781A1 (en) 2016-02-18
KR20120000232U (ko) 2012-01-05
DE202011102439U1 (de) 2011-08-17
US9171702B2 (en) 2015-10-27
CN202307788U (zh) 2012-07-04
KR200479295Y1 (ko) 2016-01-13

Similar Documents

Publication Publication Date Title
JP3171623U (ja) プラズマ処理チャンバの可動基板支持アセンブリ用の消耗絶縁リング
JP5179627B2 (ja) プラズマ処理チャンバ用の可動接地リング
JP3171182U (ja) プラズマ処理チャンバ用のc字形閉じ込めリング
CN112216590B (zh) 用于改善在晶片极端边缘的特征轮廓倾斜的边缘环组件
TWI326940B (en) Antenna for producing uniform process rates
KR200487340Y1 (ko) 극단 엣지 튜닝성을 위한 연장형 및 독립형의 rf 전력공급형 음극 기판과 함께 사용하기 위한 프로세스 키트 구성요소들
TWI584699B (zh) Plasma processing device and plasma processing method
TW202004985A (zh) 具有用於邊緣均勻性控制的可調整調節環的處理套組
US7767055B2 (en) Capacitive coupling plasma processing apparatus
US20120090784A1 (en) Chamber lid heater ring assembly
TW201705266A (zh) 透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整
TW201820382A (zh) 電漿處理裝置及電漿處理方法
JP7382329B2 (ja) 基板支持体のためのプロセスキット
US20220344134A1 (en) Process kit for a substrate support
TW202040627A (zh) 電漿處理設備
WO2024015187A1 (en) Process kit for a substrate support
CN118213253A (zh) 一种下电极组件及其等离子体处理装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110830

R150 Certificate of patent or registration of utility model

Ref document number: 3171623

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141019

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term