KR20060087428A - 낮은 아킹 경향, 원통형 가스 출구들, 및 형상 표면을 갖는플라즈마 리액터 오버헤드 전원 전극 - Google Patents

낮은 아킹 경향, 원통형 가스 출구들, 및 형상 표면을 갖는플라즈마 리액터 오버헤드 전원 전극 Download PDF

Info

Publication number
KR20060087428A
KR20060087428A KR1020060008118A KR20060008118A KR20060087428A KR 20060087428 A KR20060087428 A KR 20060087428A KR 1020060008118 A KR1020060008118 A KR 1020060008118A KR 20060008118 A KR20060008118 A KR 20060008118A KR 20060087428 A KR20060087428 A KR 20060087428A
Authority
KR
South Korea
Prior art keywords
electrode
pressure
plasma
gas
orifices
Prior art date
Application number
KR1020060008118A
Other languages
English (en)
Other versions
KR100854620B1 (ko
Inventor
쥬니어. 더글러스 에이. 부치버거
다니엘 제이. 호프만
올가 레글만
제임스 카두치
케이지 호리오카
장규 양
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060087428A publication Critical patent/KR20060087428A/ko
Application granted granted Critical
Publication of KR100854620B1 publication Critical patent/KR100854620B1/ko

Links

Images

Classifications

    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C5/00Pavings made of prefabricated single units
    • E01C5/22Pavings made of prefabricated single units made of units composed of a mixture of materials covered by two or more of groups E01C5/008, E01C5/02 - E01C5/20 except embedded reinforcing materials
    • E01C5/226Pavings made of prefabricated single units made of units composed of a mixture of materials covered by two or more of groups E01C5/008, E01C5/02 - E01C5/20 except embedded reinforcing materials having an upper layer of rubber, with or without inserts of other materials; with rubber inserts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C15/00Pavings specially adapted for footpaths, sidewalks or cycle tracks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Architecture (AREA)
  • Civil Engineering (AREA)
  • Structural Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 리액터의 천정의 적어도 일부를 형성하는 오버헤드 가스 분배 전극은 리액터의 처리 영역과 면하는 하부 표면을 갖는다. 전극은 전극의 상 부부분에서 공급 압력으로 처리 가스를 수용하기 위한 가스 공급 매니폴드, 및 오리피스 각각의 일단부에서 가스 공급 매니폴드로부터 전극에 대해 축상으로 연장하는 다수의 압력-경감 원통형 오리피스들을 포함한다. 전극 내부의 방사상 가스 분배 매니폴드는 전극에 대해 방사상으로 연장한다. 다수의 축상으로 연장하는 고 전도도의 가스 흐름 통로들은 다수의 압력-경감 오리피스들 각각의 대향 단부들을 방사상 가스 분배 매니폴드에 결합시킨다. 다수의 고 전도도의 원통형 가스 출구 홀들은 전극의 플라즈마와 면하는 하부 표면 내에 형성되고, 방사상 가스 분배 매니폴드에 축상으로 연장한다.

Description

낮은 아킹 경향, 원통형 가스 출구들, 및 형상 표면을 갖는 플라즈마 리액터 오버헤드 전원 전극 {PLASMA REACTOR OVERHEAD SOURCE POWER ELECTRODE WITH LOW ARCING TENDENCY, CYLINDRICAL GAS OUTLETS AND SHAPED SURFACE}
도 1은 플라즈마 리액터의 절단된 측부 횡단면도.
도 2a 및 도 2b는 동축 스터브를 따른 위치 함수로써, 도 1의 동축 스터브와의 전압과 전류 정상파(standing wave) 진폭으로 각각을 도시한 다이아그램.
도 3은 더 큰 정합 공간에서 좀더 일전한 전달 VHF 전력 레벨을 유지하기 위해 고 플라즈마 부하 임피던스에 응답하여 발생하는 동축 스터브 상의 입력 전력 탭 포인트에서 전류가 감해지는 것을 도시한 도면.
도 4는 더 큰 정합 공간에서 좀더 일정한 전달 VHF 전력 레벨을 유지하기 위해 저 플라즈마 부하 임피던스에 응답하여 발생하는, 동축 스터브 상의 입력 전력 탭 포인트에서의 전류의 부가를 도시한 도면.
도 5는 도 1의 실시예의 주파수 함수로써 저-Q 반사 계수를 도시한 그래프.
도 6은 스터브 길이를 따라 정상파 전류 및 전압을 갖는 동축 스터브 상에서 입력 전력 탭 포인트에서의 전류 분배의 상호작용을 도시한 그래프.
도 7은 도 1의 동축 스터브의 대체 실시예를 도시한 도면.
도 8은 다른 실시예를 도시한 도면.
도 9는 도 8에 대응하는 확대된 도면.
도 10은 도 9의 확대 도면.
도 11은 도 8의 다른 확대 도면.
도 12는 또 다른 실시예를 도시한 도면.
도 13은 도 12에 대응하는 상부도.
도 14는 도 13의 리액터의 대체 실시예에 대응하는 상부도.
도 15는 도 8과 유사하지만, 천정 전극이 상대적으로 불변의 RF 손실을 갖는 보호 반도체 코팅을 갖고 아킹에 견디는 플라즈마 리액터를 도시한 도면.
도 16은 도 15의 천정 전극의 베이스를 도시한 도면.
도 17은 도 15의 천정 전극의 보호 반도체 층을 도시한 도면.
도 18은 천정 전극 내부에서 가스 분배 통로들로써의 역할을 하는 아치형 슬롯들을 도시하는, 도 15의 천정 전극의 일부의 확대도.
도 19는 도 15의 천정 전극의 일부의 확대된 측단면도.
도 20은 도 19의 확대도.
도 21은 도 15의 천정 전극의 냉각판을 도시한 도면.
도 22는 도 15의 천정 전극의 가열판을 도시한 도면.
도 23은 도 15의 천정 전극의 내부 가스 통로들 내에서 처리 가스들의 차킹을 허용하는, 전계 강도 및 압력 레벨들의 임계 결합을 도시하는 곡선을 포함하는 그래프.
도 24는 도 15의 천정 전극의 아치형 슬롯들 또는 가스 통로들 내부에서 축 높이 함수로써 압력 경감을 도시한 도면.
도 25는 도 15의 청정 전극의 아치형 슬롯들 또는 가스 통로들 내부에서 축 높이 함수로써 전계 분배를 도시한 도면.
도 26은 상이한 층 두께에 대한 반도체층의 손실 기울기(loss tangent) 함수로써 도 15의 천정 전극의 보호 반도체 층의 RF 전력 손실들을 도시한 그래프.
도 27은 반도체 층의 상이한 값들의 손실 기울기에 대해 온도 변화를 갖는 보호 반도체 층의 RF 전력 손실의 변화를 도시한 그래프.
도 28은 반도체 층의 상이한 값들의 손실 기울기에 대해 층 두께 변화를 갖는 보호 반도체 층의 RF 전력 손실의 변화를 도시한 그래프.
도 29는 상이한 온도들에서 본딩 층들에 대한 온도 함수로써, 전극 베이스와 보호 반도체 층 사이의 본딩 층에 대해 전단력 방향 및 크기를 도시한 그래프.
도 30은 도 15의 천정 전극의 세정 및 퍼징을 위한 장치를 도시한 도면.
도 31은 평평한 표면을 갖는 도 1 내지 도 30을 참조하여 개시된 유형의 오버헤드 VHF 가스 분배 전극과 함께 달성된 반도체 웨이퍼 상의 에칭율 방사 분배를 도시한 그래프.
도 32는 계단형 표면을 갖는 오버헤드 가스 분배 전극의 실시예를 도시한 도면.
도 33은 곡선형 표면을 갖는 오버헤드 가스 분배 전극의 실시예를 도시한 도면.
도 34는 도 32 또는 도 33의 형상의 전극을 이용하여 달성된 에칭율 방사 분 배를 도시한 그래프.
도 35는 도 32 또는 도 33의 전극의 일부를 확대된 절단면도.
도 36은 도 35의 일부의 확대된 절단면도.
도 37은 도 33에 따라 상이한 형상의 전극들의 실시예에 대한 전극 표면 높이의 방사 분배를 도시한 그래프.
도 38은 도 37에 표시된 각각의 실시예들과 함께 달성된 에칭율의 방사 분배들을 도시한 그래프.
※ 도면의 주요부분에 대한 부호의 설명 ※
가열 및 냉각판들 : 903, 904 샤워헤드 전극 : 3210
가스 출구 홀 : 3214 플레넘 : 3218
오리피스 : 3222 보호층 : 902
본 출원은 Daniel J. Hoffman 등에 의해 2004년 1월 8일에 출원된 미국 특허 출원(번호: 10/754,280 제목: PLASMA REACTOR WITH OVERHEAD RF SOURCE POWER ELECTRODE WITH LOW LOSS, LOW ARCING TENDENCY AND LOW CONTAMINATION)의 부분적 연장선 상에 있고, 상기 출원서(10/754,280)는 Daniel Hoffman 등에 의해 2001년 12월 19일에 출원된 미국 특허 출원(번호: 10/028,922 제목: PLASMA REACTOR WITH OVERHEAD RF ELECTRODE TUNED TO THE PLASMA)의 부분적 연장선 상에 있으며, 상기 출원서(10/028,922)는 Daniel Hoffman 등에 의해 2000년 3월 17일에 출원된 미국 특허 출원(번호: 09/527,342 제목: PLASMA REACTOR WITH OVERHEAD RF ELECTRODE TUNED TO THE PLASMA)의 부분적 연장선 상에 있고, 상기 출원서들 모두는 본 출원의 출원인에게 특허 허여되었다.
다수의 소형 가스 인입 오리피스들을 갖는 오버헤드 가스 샤워헤드는, 플라즈마 전원을 용량성 결합시키는데 전극으로써 이용될 때, 플라즈마 아킹을 유발할 수 있다. 플라즈마는 전극의 다수의 가스 출구 오리피스들, 및 각각의 오리피스 내부의 아크로 유입되려는 경향이 있다. 아킹은 전극으로부터 금속 원자들을 용해시키거나 스퍼터링시킬 수 있어서 플라즈마에 오염물질을 생성시킴으로, 플라즈마 공정(예를 들어, 반도체 워크피스에서 수행되는 플라즈마 반응성 이온 에칭 공정)의 장애를 가져온다. 더욱이, 그러한 아킹은 상이한 오리피스들을 넓힘으로써 전극을 손상시키고, 이에 의해 전극 표면에서의 가스 유량 분배를 왜곡시키게 된다. 마지막으로, 전극이 금속이며 반도체 보호층으로 커버된다면, 그러한 아킹은 반도체 보호층과 금속성 전극 상이에 위치된 본딩 접착제를 침범하여, 전극을 더 손상시키거나 오염물질을 생성한다. 플라즈마가 가스 출구 오리피스들로 이동하는 것을 방지하기 위해 매우 좁은 가스 출구 오리피스 직경이 사용되었었으나, 이는 실제로는 아킹 문제를 더 악화시켰다. 왜냐하면, 오리피스들이 좁아짐으로써 발생된 큰 압력이 아킹을 더욱 촉진시켰기 때문이다. 더욱이, 그러한 좁은 오리피스들은 세정하기가 어려웠고, 그리하여 플라즈마로부터 잔유물(예를 들어, 중합체들)이 가 스 출구 오리피스들 내부에 축적되었다.
오버헤드 VHF 전원 전극/가스 샤워헤드 내의 아킹을 피하기 위한 본 출원인의 노력으로 인해, 오버헤드 전극 내의 가스 출구 오리피스들을 좁은 고리로써 구성시켰고, 이는 위에서 언급한 특허 출원에서 개시된다. 가스 압력은 반경 방향으로 연장하는 극히 좁은 내부 압력-경감 오리피스들에 의해 가스 출구 오리피스들 위쪽으로도 역시 경감되었다. 각각의 환형 가스 출구 오리피스의 (전극 평면에서의)아치 또는 원주 길이는 오리피스 내부의 가스 유량 전도도를 향상시켰고, 이에 의해 전극 표면에 존재하는 고전계 내의 가스 압력을 최소화시켰다. 이러한 특성은 오리피스 내의 가수가 아킹되려는 경향을 감소시켰다. 각각의 환형 오리피스의 좁은 폭은, 가스 출구 오리피스들의 하부면 근처, 및 좁은 압력-경감 오리피스들이 위치되었던 전극의 상부 영역과 떨어진 영역에서 고전계를 제한하기 위해 오리피스 내부에서 전계가 축 높이 함수로써 하경감는 속도를 증가시켰다. 이러한 특성은, 가스 압력을 상당한 고압에서 상당한 저압으로 경감시켰던 전극의 상부 영역 근처의 전계를 최소화시켰고, 이에 의해 아킹을 더 양호하게 억압하기 위해 동일한 장소에서의 높은 가스 압력과 높은 전계의 동시 발생이 억압된다.
그러한 환형의 가스 출구 오리피스들은 제조하는데 복잡한 가공을 필요로 하고, 커브 토폴로지에 적응시키기가 쉽지 않다. 그러므로, 그러한 가스 분배 전극들은 초과의 제조비용을 피하기 위해 필수적으로 평평한 형태로 한정된다. 이는 특히, 반도체 보호층이 전극의 하부 표면을 커버하는 경우에 적용되고, 금속 전극 및 반도체 보호층 내에 상호 정렬된 환형 가스 출구 오리피스들의 형성을 필요로 한다.
도 1 내지 도 30에 개시된 유형의 리액터에서, 플라즈마 이온 밀도 분배는 중앙에서 약간 높을 수 있고, 웨이퍼 주변부에서는 낮은 플라즈마 밀도 및 낮은 에칭율을 가질 수 있다. 몇몇 경우들에 있어서, 웨이퍼 에지에서의 플라즈마 이온 밀도는 웨이퍼 중심에서의 플라즈마 이온 밀도의 55% 또는 그 이하일 수 있다. 에칭율은 웨이퍼 중심에 대한 웨이퍼 에지에서 유사하게 약화된다. 위에서 설명한 낮은 아킹 경향의 장점을 유지하면서도, 플라즈마 균일성을 개선시킬 수 있는 오버헤드 가스 분배 전원이 필요하다. 중앙이 높은 플라즈마 이온 밀도 분배를 정정하는 한가지 방법은, 전극 표면을 돔 형태 또는 다중-반경 돔 형태와 같은 아치 형태로 구성시키는 것이다. 그러나, 낮은 아킹 경향을 갖는 전극(예를 들어, 위에서 설명한 환형 가스 출구 오리피스들을 갖는 전극)을 제조하기 위해 필요한 가공 단계들의 복잡도로 인해, 아치형, 또는 적어도 충분한 곡률을 갖는 형상으로는 실현될 수 없다. 예를 들어, 약 300mm의 직경을 갖는 전극에서, 중심에서 에지쪽으로 수 밀리미터 이상의 편차를 갖는 곡률을 제공하는 것을 비용상 비효율적이다. 그러한 작은 곡률은 플라즈마 이온 밀도 비-균일성에서 55%의 편차를 정정하고 충분히 개선시키기에 충분할 수 있다. 문제점은, 가스 출구 오리피스들 내부에서 발생하는 아킹 경향을 증가시키지 않으면서 전극에 충분한 곡률을 어떻게 제공하느냐 이다.
본 발명의 플라즈마 공정을 위해, 가스 출구 오리피스들 내부에서 발생하는 아킹 경향을 증가시키지 않으면서 전극에 충분한 곡률을 제공하는 것이다.
플라즈마 리액터의 적어도 천정에 형성하는 오버헤드 가스 분배 전극은 리액터의 처리 영역과 면하고 있는 하부 표면을 구비한다. 전극은 전극의 상부에서 공급압으로 처리 가스를 수용하기 위한 가스 공급 매니폴드, 및 각각의 오리피스의 일 단부에서 상기 가스 공급 매니폴드로부터 전극에 대해 축방향으로 연장하는 다수의 압력-경감 원통형 오리피스들을 포함한다. 전극 내부의 반경 가스 분배 매니폴드는 전극에 방사 방향으로 교차 연장한다. 다수의 축방향으로 연장하는 고 전도도의 가스 유량 통로들은 다수의 압력-경감 오리피스들 중 각각의 오리피스들의 대향 단부들을 방사 가스 분배 매니폴드에 결합시킨다. 다수의 고 전도도의 원통형 가스 출구 홀들이 전극의 플라즈마-대면 하부 표면에 형성되어, 방사 가스 분배 매니폴드로 축방향 연장한다.
하부 표면은 곡선형 표면 또는 계단형 표면의, 평면형 표면 또는 비-평면형 표면일 수 있고, 중심이 높을 수 있다. 비-평면형 하부 표면은 중심-에지 높이 차를 갖는데, 이는 전극 직경의 약 20% 내지 10%이다.
보호층이 하부 표면을 커버할 수 있고, 공정간 호환가능 재료로 형성될 수 있으며, 가스 출구 홀들은 상기 보호층을 통해 연장된다. 보호층은 예를 들어 실리콘 또는 실리콘 카바이드와 같은 반도체-포함 재료일 수 있다.
도 1을 참조하면, 플라즈마 리액터는 반도체 웨이퍼(110)를 지지하는 챔버의 하부면에서의 웨이퍼 지지대(105)를 갖는 리액터 챔버(100)를 포함한다. 반도체 링(115)이 웨이퍼(110)를 둘러싼다. 반도체 링(115)은 유전체(석영) 링(120)에 의해, 접지된 챔버 바디(127) 상에 지지된다. 일실시예에서, 이는 10mm 두께 및 4의 유전 상수이다. 챔버(100)는 유전체(석영) 밀봉부에 의해, 상기 접지된 챔버 바디(127) 상에서 웨이퍼(110) 위쪽으로 소정의 갭 길이에 지지된 디스크 형태의 오버헤드 알루미늄 전극에 의해 상부에 본딩된다. 오버헤드 전극(125) 또한 내부 표면 상에서 반-금속 재료(예를 들어, Si 또는 SiC)에 의해 커버될 수 있는 금속(예를 들어, 알루미늄)일 수 있거나, 그 자체가 반-금속 재료일 수 있다. RF 발생기(150)는 RF 전력을 전극(125)에 인가한다. 발생기(150)로부터의 RF 전력은 발생기(150)에 정합된 동축 케이블(162)을 통해, 전극(125)에 연결된 동축 스터브(135) 내부로 결합된다. 스터브(135)는 특성 임피던스의 공진 주파수를 갖고, 전극(125)과 50옴의 동축 케이블(162) 또는 상기 전극과 50옴의 RF 전력 발생기(150) 출력 사이의 임피던스 정합을 제공하는데, 이는 이후에 좀 더 자세히 설명된다. 챔버 바디는 RF 발생기(150)의 RF 리턴(RF 접지)에 연결된다. 오버헤드 전극(125)에서 RF 접지로의 RF 경로는 반도체 링(115), 유전체 링(120), 및 유전체 밀봉부(130)의 커패시턴스에 의해 영향을 받는다. 웨이퍼 지지대(150), 웨이퍼(110) 및 반도체 링(115)은 전극(125)에 인가된 RF 전력에 대한 일차적 RF 리턴 경로를 제공한다.
RF 리턴 또는 접지에 대해 축적된 전극(125), 유전체 링(120) 및 유전체 밀봉부(130)를 포함하여 오버헤드 전극 어셈블리(126)의 커패시턴스는 180 pF일 수 있다. 전극 어셈블리 커패시턴스는 전극 영역, 갭 길이(웨이퍼 지지대와 오버헤드 전극 사이의 거리), 및 부유 커패시턴스에 영향을 주는 인자들, 특히 이용된 재료 의 유전 상수들 및 두께들에 의해 순차적으로 영향을 받는 밀봉부(130)의 유전 값들 및 유전체 링(120)의 유전 값에 의해 영향을 받는다. 더욱 일반적으로는, 전극 어셈블리의 커패시턴스(부호 없는 숫자 또는 스칼라)는 특정 전원 주파수, 플라즈마 밀도 및 동작 압력에서의 플라즈마의 음의 커패시턴스(복소수)의 크기와 동일하거나 거의 같은데, 이는 아래에서 좀 더 논의될 것이다.
앞서 언급한 관계에 영향을 미치는 다수의 인자들은, 리액터, 웨이퍼의 크기, 및 웨이퍼에 대해 균일하게 처리가 수행되어야 하는 요구사항의 실제 환경으로 인해 결정되는 많은 부분이 존재한다. 그러므로, 플라즈마 커패시턴스는 플라즈마 밀도 및 전원 주파수의 함수이고, 전극 커패시턴스는 웨이퍼 지지대-전극 갭(높이), 전극 직경, 및 어셈블리의 절연체들의 유전체 값들의 함수이다. 플라즈마 밀도, 동작 압력, 갭, 및 전극 직경은 리액터에 의해 수행되어지는 플라즈마 처리의 요구사항들을 만족시켜야만 한다. 특히, 이온 밀도는 특정 범위 내에 존재해야만 한다. 예를 들어, 실리콘 및 유전체 플라즈마 에칭 공정들은 일반적으로, 플라즈마 이온 밀도가 109 B 1012 ions/cc 범위일 것을 요구한다. 웨이퍼 전극 갭은, 예를 들어 만일 갭이 약 1.25 내지 약 2.0 인치라면, 8인치 웨이퍼에 대해 최적의 플라즈마 이온 분배 균일성을 제공한다. 300mm 직경의 웨이퍼에 대해, 최적의 갭 크기는 약 1.25 인치이다. 전극 직경은 웨이퍼 직경보다 크지 않는 한은 적어도 계속 클수 있다. 동작 압력은 전형적 에칭 및 다른 플라즈마 공정들과 유사한 실제 범위를 갖는다.
그러나, 위에서 언급한 관계, 특히 소스 주파수의 선정 및 오버헤드 전극 어셈블리(126)에 대한 커패시턴스의 선정을 달성하는데 선택될 수 있는 다른 요소들이 존재할 수 있음을 알아냈다. 앞서 언급한 바와 같은 전극에 대한 수치 제한 사항들 및 플라즈마에 대한 제한 사항들(예를 들어, 밀도 범위) 내에서, 전극 커패시턴스는, 만일 전원 주파수가 VHF 주파수로 선택되고 전극 어셈블리의 절연체 컴포넌트들의 유전체 값들이 적절히 선택되기만 한다면, 플라즈마의 음의 커패시턴스의 크기에 정합될 수 있다. 그러한 선택은 전원 주파수와 플라즈마-전극 공진 주파수 사이의 정합 또는 거의 정합을 달성할 수 있게 한다.
일실시예에 따라, 8-인치 웨이퍼에 대해, 오버헤드 전극 직경은 대략 11 인치이고, 갭은 약 2인치이며, 플라즈마 밀도 및 동작 압력은 위에서 언급한 바와 같은 에칭 공정들에 대한 일반적 값이고, 밀봉부(130)에 대한 유전체 재료는 9의 유전 상수를 가지며 1인치의 두께를 갖고, 링(115)은 10인치를 약간 초과한 내부 직경과 약 13인치의 외부 직경을 가지며, 링(120)은 4의 유전 상수 및 약 10mm의 두께를 갖고, VHF 전원 주파수는 210 MHz(다른 VHF 주파수들이 동일하게 효과적일지라도)이고, 전원 주파수, 플라즈마 전극 공진 주파수 및 스터브 공진 주파수는 모두 정합되거나, 거의 정합된다. 300mm 직경 웨이퍼에 대해, 최적의 전원 주파수는 162MHz이고, 플라즈마 전극 공진 주파수 및 스터브 공진 주파수는 정합되거나, 162MHz에서 약간 오프셋된다.
더욱 상세하게는, 이러한 세 개의 주파수들은 서로 약간씩 오프셋 될 수 있고, 전원 주파수는 162MHz(300mm 웨이퍼에 대해 최적화됨), 전극-플라즈마 공진 주 파수는 162MHz의 약간 아래값이며, 스터브 주파수는 162MHz의 약간 위값인데, 이는 유리하게 시스템 Q를 감소시키는 이조(de-tuning) 효과를 달성하기 위함이다. 시스템 Q에 있어서의 그러한 감소는 챔버 내부의 조건 변화에 덜 민감한 리액터 성능을 가져와서, 전체 공정이 상당히 더 안정해지고 더 넓은 공정 윈도우에 대해 수행될 수 있게 된다.
동축 스터브(135)는, 전체 시스템 안정도, 더 넓은 공정 윈도우 용량 뿐만 아니라 다른 다수의 유용한 이점들을 더 제공하게 특별히 구성된 설계이다. 상기 스터브는 내부의 원통형 도체(140) 및 외부의 동심 원통형 도체(145)를 포함한다. 예를 들어, 상대적 유전 상수인 1을 갖는 절연체(147)(도 1에서 사선 음영으로 표시됨)는 내부 도체와 외부 도체(140, 145) 사이를 충전한다. 내부 도체 및 외부 도체(140, 145)는 니켈-코팅된 알루미늄으로 형성된다. 외부 도체(145)는 약 4인치의 직경을 가질 수 있고, 내부 도체(140)는 약 1.5인치의 직경을 가질 수 있다. 스터브의 특성 임피던스는 내부 및 외부 도체들의 반지름들, 및 절연체(147)으 유전 상수에 의해 결정된다. 일실시예으 스터브(135)는 30옴의 특성 임피던스를 갖는다(VHF 전원 주파수는 300mm 웨이퍼 직경에 대해 162MHz임). 좀더 일반적으로, 스터브 특성 임피던스는 약 20% - 40% 만큼, 및 약 30%만큼 전원 출력 임피던스를 초과한다. 스터브(135)는 162MHz의 VHF 전원 주파수로부터 약간 오프셋(위쪽으로 오프셋) 되도록 하기 위해 162 MHz 약간 위쪽(예를 들어, 170MHz 근처)의 1/4 파장에 해당하는 축 길이를 갖는다.
탭(160)은 아래에서 논의되겠지만, RF 전력을 RF 발생기(150)로부터 스터브 (135)에 인가하기 위해, 스터브(135)의 축 길이를 따라 특정 포인트에 제공된다. 발생기(150)의 RF 전력 단자(150b) 및 RF 리턴 단자(150a)는 스터브(135) 상의 탭(160)에서 내부 및 외부 동축 스터브 도체들(140, 145)에 각각 연결된다. 이러한 연결부들은 공지된 방식으로 발생기(150)의 출력 임피던스(일반적으로, 50옴)의 출력 임피던스에 정합된 특성 임피던스를 갖는 발생기-스터브 동축 케이블(162)를 통해 이루어진다. 스터브(135)에서 더 떨어진 단부(135a)에서의 종료 도체(165)는 내부 및 외부 도체들(140, 145)를 함께 단락시킴으로써, 스터브(135)는 상기 더 떨어진 단부(135a)에서 단락된다. 스터브(135)에서 더 가까운 단부(135b)에서, 외부 도체(145)는 환형 전도성 하우징 또는 지지대(175)를 통해 챔버 바디에 연결되고, 도체(140)는 전도성 원통 또는 지지대(176)를 통해 전극(125)의 중앙에 연결된다. 유전체 링(180)은 전도성 원통(176)과 전극(125) 사이에 위치되어 분리시킨다.
내부 도체(140)는 처리 가스 및 냉각제과 같은 유용물에 콘딧을 제공할 수 있다. 이러한 특징의 원칙적 이점은, 전형적 플라즈마 리액터와는 달리 가스 라인(170) 및 냉각제 라인(173)이 큰 전위차를 갖지 않는다는 점이다. 그러므로, 상기 라인들은 그러한 목적을 위한 좀더 저렴하고 좀더 신뢰성 있는 재료의 금속으로 구성될 수 있다. 금속성 가스 라인(170)은 오버헤드 전극(125) 내부 또는 근처에 가수 인입구(172)를 제공하는 반면, 금속성 냉각제 라인(173)은 오버헤드 전극(125) 내부에 냉각제 통로들 및 외피(174)를 제공한다.
그러므로 능동 및 공진 임피던스 변환은 RF 발생기(150)와의 오버헤드 전극 어셈블리(126)와 처리 플라즈마 부하 사이의 특별히 구성된 이러한 스터브 정합에 의해 제공되어, 반사 전력을 최소화시키고 부하 임피던스의 광범위한 변화를 수용하는 매우 넓은 임피던스 정합 공간을 제공하게 된다. 결과적으로, 넓은 공정 프로세스 및 공정 융통성이 제공되고, 이와 함께 미리 획득하기 어려운 효율이 전력 사용중 획득되며, 또한 일반적인 임피던스 정합 장치의 필요성이 제거되어나 최소화된다. 위에서 언급한 바와 같이, 스터브 공진 주파수 또한 이상적 정합으로부터 오프셋되어 전체 시스템 Q, 시스템 안정도 및 공정 윈도우 및 다중-공정 용량을 더 향상시키게 된다.
전극- 플라즈마 공진 주파수와 VHF 전원 주파수의 정합 :
위에서 대략 언급한 바와 같이, 원칙적 특성은 전극-플라즈마 공진 주파수에서의 플라즈마와의 공진, 및 전원 주파수와 전극-플라즈마 주파수의 정합(e호는 거의 정합시킴)을 위하 오버헤드 전극 어셈블리(126)를 구성하는 것이다. 전극 어셈블리(126)는 우세한 용량성 공진을 가지고, 플라즈마 공진은 주파수, 플라즈마 밀도 및 다른 파라미터들의 복소 함수이다. (아래에서 좀 더 자세히 설명되는 바와 같이, 플라즈마는 허수 항들을 수반하는 복소 함수이고 일반적으로 음의 커패시턴스에 해당하는 리액턴스로서 분석된다.) 전극-플라즈마 공진 주파수는 전극 어셈블리(126)의 공진 및 플라즈마의 공진에 의해 결정된다(커패시터와 인덕터의 공진들에 의해 결정되는 커패시터/인덕터 공진 회로의 공진 주파수와 유사함). 그러므로, 전극-플라즈마 공진 주파수는 플라즈마 밀도에 따라 전원 주파수일 필요는 없게된다. 그러므로, 문제는, 전극-플라즈마 공진 주파수가 전원 주파수와 동일하거나 거의 동일하여 플라즈마 밀도 및 전극 치수들이 실질적으로 제한되는 플라즈마 공진이 발생하는 전원 주파수를 알아내는 일이다. 이러한 문제점은 좀더 어려운데, 왜냐하면 플라즈마 밀도(플라즈마 공진에 영향을 줌) 및 전극 치수들(전극 커패시턴스에 영향을 줌)은 측정 공정 제한사항들을 충족시켜야만 하기 때문이다. 특정하게, 유전체 및 금속 플라즈마 에칭 공정들에 대해, 플라즈마 밀도는 109-1012 ions/cc 범위여야 하고, 이는 플라즈마 공진 상에서의 제한 사항이다. 더욱이, 예를 들어 300mm 직경의 웨이퍼를 처리하기 위한 좀더 균일한 플라즈마 이온 밀도 분배는 약 1.25 인치의 웨이퍼-전극 갭 또는 높이, 및 웨이퍼 직경 정도 또는 더 큰 전극 직경(이는 전극 커패시턴스의 제한사항임)에 의해 실현된다. 한편, 상이한 갭 길이는 좀더 큰 웨이퍼를 처리하는데 이용될 수 있다.
따라서, 실시예의 한가지 특징으로써, 전극 커패시턴스를 플라즈마의 음의 커패시턴스의 크기에 정합(또는 거의 정합)시킴으로써, 전극-플라즈마 공진 주파수 및 전원 주파수는 적어도 거의 정합된다. 위에서 열거된 일반적 금속 및 유전체 에칭 공정 조건들(109-1012 ions/cc의 플라즈마 밀도, 2인치 갭, 및 대략 11인치의 전극 직경)에 대해, 전원 주파수가 VHF 주파수이면 정합이 가능하다. 다른 조건들(예를 들어, 상이한 웨이퍼 직경들, 상이한 플라즈마 밀도들 등)은 이러한 리액터 특성을 실행하는 그러한 정합을 구현하기 위해 상이한 주파수 범위를 지시할 수도 있다. 아래에서 설명되는 바와 같이, 유전체 및 금속 플라즈마 에칭 및 화학적 기상 증착을 포함하는 여러개의 원리적 응용예들에서 8-인치 웨이퍼들을 처리하기 위한 특정 플라즈마 처리 조건들 하에서, 위에서 설명된 플라즈마 밀도들을 갖는 하 나의 전형적 작용예에서의 플라즈마 커패시턴스는 -50 내지 B400 pF이었다. 210MHz의 전원 주파수를 사용하는 작용예에서, 오버헤드 전극 어셈블리(126)의 커패시턴스는 유전 상수 9와 1인치 정도의 두께를 갖는 밀봉부(130)에 대한 유전체 재료, 및 유전 상수 4와 10mm 정도의 두께를 갖는 링(120)에 대한 유전체 재료를 선택하여, 11인치의 전극 직경 및 약 2인치의 갭 길이(페데스탈 공간으로의 전극)을 사용함으로써 이러한 음의 플라즈마 커패시턴스의 크기에 정합되었다. 300mm 웨이퍼에 대해, 전원 주파수는 약 162MHz일 수 있다.
방금 설명된 바와 같이 커패시턴스들의 정합을 가정할 때, 전극 어셈블리(126)과 플라즈마는 적어도 전극(125)에 인가되는 전원 주파수에 거의 정합하는 전극-플라즈마 공진 주파수에서의 공진한다. 알맞은 에칭 플라즈마 처리 방식, 환경 및 플라즈마에 대해, 이러한 전극-플라즈마 공진 주파수 및 전원 주파수는 VHF 주파수들에서 정합되거나 거의 정합되고, 그러한 주파수 정합 또는 거의 정합이 구현되는 것이 상당히 유리하다는 것을 밝혀냈다. 앞서 언급한 실시예에서, 앞서 언급한 플라즈마 음의 커패시턴스 값들에 해당하는 전극-플라즈마 공진 주파수는 162MHz 의 약간 아래값일 수 있다. 전원 주파수는 162MHz이고, 아래에서 논의되는 다른 이점들을 실현하기 위해 전극-플라즈마 공진 주파수보다 약간 오프셋되어 거의 정합된다. 전원 주파수가 210MHz이면, 플라즈마 공진 주파수가 200MHz이고 스터브 공진 주파수는 220MHz일 수 있다.
플라즈마 커패시턴스는 다른 무엇보다도 플라즈 전극 밀도에서 가장 중요한 기능이다. 이는 플라즈마 이온 밀도에 관한 것이고, 일반적으로 109 내지 1012 ions/cc 범위가 유지되도록 양호한 플라즈마 처리 조건들을 제공하기 위해 필요하다. 전원 주파수 및 다른 파라미터들과 함께, 이러한 밀도는 플라즈마 음의 커패시턴스를 결정하고, 그러므로 이는 아래에서 더 설명되는 바와 같이, 플라즈마 처리 조건들을 최적화할 필요에 의해 제한된다. 그러나, 오버헤드 전극 어셈블리 커패시턴스는 다수의 물리적 인자들, 예를 들어 갭 길이(전극(125)과 웨이퍼 사이의 공간); 전극(125)의 공간; 전극(125)과 접지 챔버 바디(127) 사이의 유전체 밀봉부(130)의 유전 상수 선택; 반도체 링(115)과 챔버 바디 사이의 유전체 링(120)에 대한 유전 상수 선택; 및 밀봉부(130)와 링(120)의 유전체 구조물들의 두께와 링(180)의 두께와 유전 상수에 의해 영향을 받는다. 이는, 오버헤드 전극 커패시턴스에 영향을 미치는 이러한 인자들 및 다른 인자들 중에서 이루어진 선택들을 통해 전극 어셈블리 커패시턴스의 조정을 허용하게 한다. 이러한 조정 범위는 오버헤드 전극 어셈블리 커패시턴스를 음의 플라즈마 커패시턴스의 크기에 정합시키는데 필요한 정도를 달성하기에 충분하다는 것을 밝혀냈다. 특히, 밀봉부(130)와 링(120)에 대한 유전체 재료 및 치수들은 바람직한 유전 상수들 및 최종 유전체 값들을 제공하도록 선택된다. 그 후, 전극 커패시턴스, 특히 갭 길이에 영향을 미치는 동일한 물리적 인자들 중 일부는 다음의 유틸리티들에 의해 한정될 것임에도 불구하고, 전극 커패시턴스 및 플라즈마 커패시턴스의 정합이 달성될 수 있다: 더 큰 직경의 웨이퍼들을 처리할 필요성; 전체 웨이퍼 직경에 대해 플라즈마 이온 밀도 분배의 양호한 균일성 유지; 및 이온 밀도에 대한 이온 에너지의 양호한 제어 유지.
따라서, 플라즈마 에칭 처리에 대한 위에서 설명된 플라즈마 이온 밀도 범위, 및 8-인치 웨이퍼를 처리하기에 적합한 챔버 치수들에 대해, 전극 어셈블리(126)에 대한 커패시턴스는 11인치의 전극 직경, 약 2인치의 갭 길이, 및 유전상수 9를 갖는 밀봉부(130)에 대한 재료와 유전상수 4를 갖는 링(120)에 대한 재료를 이용함으로써 -50 내지 B400 pF의 플라즈마 커패시턴스에 정합시킴으로써 달성되었다.
플라즈마 커패시턴스와 정합 오버헤드 전극 커패시턴스에 대해 앞서 언급한 소정의 범위에 대해, 전극-플라즈마 공지 주파수는 210MHz의 전원 주파수에 대해 대략 200MHz였다. 앞서 언급한 값들은 162MHz의 전원 주파수를 이용하여 300mm웨이퍼들에 대한 성능을 최적화하기 위해 조정될 수 있다.
이러한 방식으로 전극 어셈블리(126)의 커패시턴스를 선택하여 최종 전극-플라즈마 공지 주파수와 전원 주파수를 정합하는 큰 이점은, 전원 주파수 근처에서의 전극과 플라즈마의 공진이 더 넓은 임피던스 정합, 더 넓은 공정 윈도우, 및 그에 따른 공정 조건 변화에 대한 더 큰 면역, 즉 더 큰 성능 안정도를 제공한다는 데에 있다. 전체 처리 시스템은 동작 상태들의 변화, 예를 들어 플라즈마 임피던스의 시프트에 덜 민감해지고, 그로 인한 더 폭넓은 공정 적응성에 따른 더 큰 신뢰성을 갖게 된다. 본 명세서에서 이후에 더 논의되겠지만, 이러한 이점은 전극-플라즈마 공진 주파수와 전원 주파수 사이의 작은 오프셋에 의해 더 향상된다.
플라즈마가 음의 커패시턴스를 갖는 이유:
플라즈마의 커패시턴스는 다음의 방정식에 따른, 자유 공간 유전율(ε0), 플라즈마 전자 주파수(Tpe), 전원 주파수(T), 중성 전자 충돌 주파수(Oen)의 함수이다:
ε= ε0[1-Tpe 2/(T(T+iOen))], 이때 i=(-1)1/2.
(플라즈마 전자 주파수(Tpe)는 플라즈마 전자 밀도의 단순 함수이고, 플라즈마 공정에 대한 공지된 공보에서 한정된다.)
일작용예에서, 중성 종들은 아르곤이었고, 플라즈마 전자 주파수는 약 162MHz에서 약간 아래값이었으며, RF 전원 주파수는, 플라즈마 밀도가 109 내지 1012 cc-1 이도록 인가되는 충분한 RF 소스와 함께 5mT 내지 1000mT의 챔버 압력에서 162MHz였다. 플라즈마 에칭 공정들에 대해 전형적인 이러한 조건들 하에서, 플라즈마는 일반적으로 음의 커패시턴스를 갖는데, 왜냐하면 앞서 기술한 방정식에 의해 한정되는 효율적 유전율이 음의 값이기 때문이다. 이러한 조건들 하에서, 플라즈마는 -50 내지 B400 pF 의 음의 커패시턴스를 갖았다. 그후, 위에서 좀더 일반적 용어들로 이미 설명된 바와 같이, (전원 주파수 및 전자-중성 충동 주파수 뿐만 아닐) 플라즈마 전자 밀도 함수로써의 플라즈마 커패시턴스는 일반적으로 유전체 에칭, 금속 에칭 및 CVD와 같은 주요 응용예들에 대한 알맞은 플라즈마 공정 현실성에 의해 특정한 원하는 범위로 제한되는 경향이 있고, VHF 전원 주파수들에서 음의 값을 갖게 되는 경향이 있다. 플라즈마의 이러한 특징을 이용함으로써, 리액터의 전극 커패시턴스 정합 및 주파수-정합 특성들은 이전에는 가능하지 않았던, 공 정 윈도우 용량성, 및 동작의 융통성 및 안정도를 달성한다.
스터브(135)에 의해 제공되는 임피던스 변환:
스터브(135)는 RF 발생기(150)의 50옴 출력 임피던스와, 전극 어셈블리(126)와 플라즈마의 결합으로 표현되는 부하 임피던스 사이의 임피던스 변환을 챔버 내에 제공한다. 그러한 임피던스 정합을 위해, 발생기-스터브 연결부에서 RF 전력의 반사가 없거나 거의 없어야만 한다(RF 발생기(150)의 VSWR 제한치들을 초과하는 반사는 최소한 없어야만 함). 아래에서는 이러한 사항이 어떻게 달성되는지가 설명된다.
발생기(150)의 원하는 VHF 주파수에서, 및 플라즈마 에칭 공정들(예를 들어, 109 B 1012 ions/cm3 및 각각 5 mT B 1000 mT)을 위한 플라즈마 밀도 및 챔버 압력에서, 플라즈마 자체의 임피던스는 약 (0.3 + (i)7)옴이고, 이때 0.3은 플라즈마 임피던스, i=(-1)1/2의 실수부이며, 7은 플라즈마의 허수부이다. 전극-플라즈마 결합으로 표시되는 부하 임피던스는 이러한 플라즈마 임피던스와, 전극 어셈블리(126)의 커패시턴스의 함수이다. 위에서 설명된 바와 같이, 전극 어셈블리(126)의 커패시턴스는 300mm 웨이퍼에 대해 약 162MHz 또는 약간 아래값에서 전극-플라즈마 공진 주파수를 갖는, 전극 어셈블리(126)와 플라즈마 사이의 공진을 달성하도록 선택된다. 스터브-전극 인터페이스에서의 RF 전력의 반사는 최소화되거나 회피되는데, 왜냐하면 스터브(135)의 공진 주파수는 전극-플라즈마 공진 주파수 또는 그 근처로 설정되어 두개가 적어도 가까이에서 함께 공진한다.
동시에, 발생기-스터브 인터페이스에서의 RF 전력의 반사는 최소화되거나 회피되는데, 왜냐하면 스터브(135)의 축 길이를 따른 탭(160)의 위치는, 탭(160)에서는 스터브(135) 내의 정상파 전압에 대한 정상파 전류의 비율은 발생기(150)의 출력 임피던스 근처 또는 케이블(162)의 특성 임피던스에 가깝다(둘 다 약 50옴). 탭(160)이 이를 어떻게 달성하는지는 아래에서 논의될 것이다.
스터브 탭(160)의 축 위치:
동축 스터브(135)의 축 길이는 "스터브" 주파수(예를 들어, 162MHz 보다 약간 위의값)의 다중 1/4 파장일 수 있고, 이러한 파장은 위에서 언급한 바와 같이 전극-플라즈마 공진 주파수 근처이다. 일실시예에서, 이러한 다중은 두개이고, 이에 의해 동축 스터브 길이는 "스터브" 주파수의 약 반파장이다.
탭(160)은 스터브(135)의 길이를 따라 특정 축 위치에 있다. 이러한 위치에서, 발생기(150)의 출력 주파수에서 RF 신호의 정상파 전압과 정상파 전류 크기들 사이의 비율은 RF 발생기(150)의 출력 임피던스(예를 들어, 50옴)에 정합시키는 입력 임피던스에 해당한다. 이는 도 2a 및 도 2b에 도시되고, 스터브(135)에서의 전압 및 전류 정상파들은 더 짧은 외부 스터브 단부(135a)에서 각각 널 및 피크치이다. 탭(160)에 대한 원하는 위치는 상기 더 짧은 단부로부터 내부쪽으로의 거리(A)이고, 정상파 전압과 정상파 전류 사이의 비율은 50옴에 해당한다. 이러한 위치는 정상파 비율이 50옴인 위치를 경험적으로 결정함으로써 당업자에게 쉽게 발견된다. RF 발생기 출력 임피던스(50옴)로의 정합을 제공하는 탭(160)의 거리 또는 위치(A)는 본 명세서에서 이후에 설명될 것이지만, 스터브(135)의 특성 임피던스의 함수이다. 탭(160)이 거리(A)에 정확하게 위치될 때, RF 발생기가 3:1 전압 정상파 비율(VSWR)에 대한 일정한 전달 전력을 유지할 수 있는 전형적 종류의 발생기라면, 임피던스 정합 공간은 부하 임피던스의 실수부에서 9:1 변화를 수용한다.
임피던스 정합 공간은 부하 임피던스의 실수부에서의 거의 60:1 변화를 수용하도록 크게 확장될 수 있다. 이러한 급격한 결과는 탭(160)을 위치(A)에서의 정밀한 50옴 포인트에서 정동축 스터브(135)의 더 짧은 외부 단부(135a) 쪽으로 약간 시프트시킴으로써 달성된다. 예를 들어, 이러한 시프트는 파장의 5%일 수 있다(즉, 30옴의 특성 임피던스에 대해 162MHz에서 약 7.5인치). 이렇게 약간 시프트된 탭 위치에서, 탭(160)에서의 RF 전류 기여는 스터브 내의 전류에 가감하게 되는데, 이는 도 3 및 도 4를 참조하여 아래에서 설명되는 바와 같이, 플라즈마 부하 임피던스에서의 변동을 보상하기에 좀더 적절해지게 된다. 이러한 보상은 부하 임피던스의 실수부에서의 9:1 스윙을 60:1 스윙으로 수용하도록, 1에서부터 정합 공간을 증가시키기에 충분하다.
이러한 특성은, 탭 포인트가 A에서의 위치로부터 떨어져 이동됨에 따라, 스터브(135)에서의 정상파 전류의 위상이 전극-플라즈마 부하 임피던스에 의해 임피던스 부정합에 좀더 민감해지는 경향 때문이라는 것을 알 수 있다. 위에서 설명된 바와 같이, 전극 어셈블리(126)는 공칭 동작 상태 하에서 플라즈마의 음의 커패시턴스에 정합된다. 이러한 커패시턴스는 VHF 전원 주파수에서 B50 내지 B400 pF 이다. 이러한 커패시턴스에서, 플라즈마는 (.3+i7)옴의 플라즈마 임피던스를 나타낸다. 그러므로, .3옴은 시스템이 동조되는 플라즈마 임피던스의 실수부이다. 플라 즈마 조건들이 변동됨에 따라, 플라즈마 커패시턴스 및 임피던스는 그것들의 공칭 값들로부터 변동된다. 플라즈마 커패시턴스가, 전극(125)이 정합되었던 값으로 변동함에 따라, 전극-플라즈마 공진의 위상은 변화하고 이는 스터브(135) 내의 전류 위상에 영향을 미친다. 따라서 스터브의 정상파 전류가 시프트함에 따라, 탭(160)에 공급된 RF 발생기 전류는 위상 시프트의 방향에 따라 스터브 정상파 전류에 감산 또는 가산될 것이다. A에서의 50옴 위치로부터 탭(160)의 변위는 파장의 작은 부분으로 제한된다(예를 들어, 5%).
도 3은 플라즈마 임피던스의 실수부가 플라즈마 변동으로 인해 증가되었을 때의 스터브(135) 내의 정상파 전류를 도시한다. 도 3에서, 전류 정상파 크기는 스터브(135)를 따른 축 위치의 함수로써 도시된다. 수평 축 상에서 위치(0.1)에서의 정상파 전류 크기의 불연속은 탭(160)의 위치에 해당한다. 도 3의 그래프에서, 임피던스 정합이 이루어지는데, 왜냐하면 플라즈마 임피던스의 실수부는 시스템이 동조되는(즉, 여기서 전극 커패시턴스는 음의 커패시턴스에 정합됨) 공칭 플라즈마 임피던스 이상으로 높기 때문이다. 이러한 경우에, 탭(160)에서의 전류는 스터브(135) 내의 정상파 전류에서 감해진다. 이러한 감산은 도 3의 그래프에서 불연속 또는 널값을 유발시키고, 증가된 부하를 오프셋시키기 위해 전달 전력을 감소시킨다. 이에 의해, 더 큰 부하(R)로 인한, 전달 전력(I2R) 내의 대응하는 증가가 회피된다.
도 4는, 플라즈마 임피던스의 실수부가 감소할 때, 스터브(135) 내의 정상파 전류를 도시한다. 도 4에서, 전류 정상파 크기는 스터브(135)를 따라 축 위치의 함수로써 도시된다. 위치(0.1)에서의 정상파 전류 크기의 불연속은 탭(160)의 위치를 표시한다. 도 4의 그래프에서, 플라즈마 임피던스의 실수부는 낮고, 이는 시스템이 동조되는 공칭 플라즈마 임피던스 값 아래이다. 이러한 경우에, 탭(160)에서의 전류는 스터브(135) 내의 정상파 전류에 가산된다. 이러한 추가는 감소된 부파(R)로 인한, 전달 전력(I2R) 내의 수반되는 감소를 회피하기 위해, 감소된 부하를 오프셋시키도록 전달 전력을 증가시킨다. 그러한 보상에 의해, 부하 임피던스의 더 큰 변화가 수용될 수 있고, 정합 공간은 상당히 증가된다.
부하 임피던스의 실수부에서의 60:1 스윙을 수용하도록 정합 공간을 이렇게 확장시킴으로써, 공정 윈도우 및 리액터의 신뢰도가 향상된다. 이는, 알맞은 공정 또는 응용예 동안에 동작 상태이 시프트함에 따라, 또는 상이한 응용예에 대해 상이한 동작 방식으로 리액터가 동작함에 따라, 플라즈마 임피던스는 변화할 것이고, 특정하게는 임피던스의 실수부가 변화할 것이다. 종래 기술에서, 그러한 변화는 시스템 내에서 사용되는 기존의 정합 회로의 범위를 쉽게 초과할 수 있고, 이에 의해 전달 전력은 실행 가능한 공정을 지원하기에 충분히 더 이상 제어될 수 없고, 공정은 장애될 수 있다. 본 발명의 리액터에서, 전달 전력이 원하는 레벨로 유진될 수 있는 부하 임피던스의 실수부의 변화는 플라즈마 임피던스를 변화시키도록 상당히 증가되었고, 이는 이전에는 공정 장애을 유도했던 것이었으나, 리액터의 이러한 특징을 사용하는 리액터에는 전혀 효과를 미치지 않거나 거의 미치지 않는다. 그러므로, 리액터는 알맞은 공정 또는 응용 동안에 동작 상태의 상당한 변화를 견딜 수 있다. 대안으로써, 이에 의해 리액터는 큰 이점인 공정 조건들의 더 넓은 범위를 수반하는 다수의 상이한 응용예들에서 이용되도록 한다.
다른 이점으로써, 이러한 확장된 임피던스를 제공하는 동축 스터브(135)는 기존의 임피던스 정합 장치 특유의 가변 커패시터/서보 또는 가변 주파수/서보와 같은 "이동 부품(moving part)"가 없는 단순 수동 디바이스이다. 그러므로, 대체되는 임피던스 정합 장치보다 더 저렴하고 좀더 신뢰할만 하다.
공정 윈도우를 넓히기 위해 동작 및 공진 주파수들을 이조시킴 (de-tuning):
다른 실시예에 따라, 시스템Q은 스터브 공진 주파수, 전극 플라즈마 공진 주파수 및 플라즈마 전원 주파수를 서로 서로 약간 오프셋시킴으로써, 공정 윈도우를 넓게하도록 감소된다. 위에서 설명된 바와 같이, 스터브 공진 주파수는, 스터브(135)의 축 길이가 반 파장인 곳의 주파수이고, 전극-플라즈마 공진 주파수는, 전극 어셈블리(126) 및 플라즈마가 함께 공진하는 곳의 주파수이다. 일실시예에서, 스터브(135)는, 300mm 웨이퍼에 대해, 자신의 공진 주파수가 162MHz 약간 위의 값일 때 길이로 전단되었고, RF 소스 전력 발생기(150)은 162MHz에서 동작하도록 선택되며, 최종 전극-플라즈마 공진 주파수는 약 162MHz보다 약간 낮은 값이다.
플라즈마 공진, 스터브 공진 및 전원 주파수에 대해, 세 개 모두에 대해 동일한 주파수가 아닌 세 개의 그러한 상이한 주파수들을 선택함으로써, 시스템은 어느 정도 "이조"된다. 그러므로, 더 낮은 "Q"를 갖는다. 더 높은 VHF 전원 주파수의 사용은 Q 또한 비율적으로 감소시킨다(추가로, 에칭에 알맞은 동작 상태들 하에 서의 전극과 플라즈마 커패시턴스들의 정합을 용이하게 함).
시스템Q의 감소는 시스템의 임피던스 정합 공간을 넓게 하고, 이에 의해 그 성능은 플라즈마 조건의 변화 또는 제조 허용오차 편차에 그렇게 민감하지 않게 된다. 예를 들어, 전극-플라즈마 공진은 플라즈마 공진의 변동으로 인해 변동될 수 있다. 더 작은 Q에 의해, 임피던스 정합을 위해 필요한(본 명세서에서 이전에 설명된 바와 같음) 스터브(135)와 전극-플라즈마 결합 사이의 공진은 플라즈마-전극 공진 내의 소정의 변화에 대해 더 변화한다. 결과로써, 플라즈마 조건에서의 변동은 임피던스 정합에 덜 영향을 미친다. 특정하게, 플라즈마 동작 상태에서의 소정의 변이는 RF 발생기(150)의 출력에서 VSWR의 더 작은 증가를 발생시킨다. 그러므로, 리액터는 플라즈마 공정 조건(압력, 전원 레벨, 전원 주파수, 플라즈마 밀도 등)의 더 넓은 윈도우에서 동작될 수 있다. 더욱이, 제조 허요 오차는 상당한 이점인 동일한 모델의 설계가 달성되는 리액터 중 비용을 절약하고 좀 더 균일한 성능을 달성에 대해 좀더 완화된다. 이와 관련된 이점은, 동일한 리액터는 충분히 넓은 공정 윈도우로 하여금 금속 에칭, 유전체 에치 및/또는 화학적 기상 증착과 같은 상이한 공정 방식과 상이한 응용예들을 동작시키기에 유용하도록 만들 것이다.
공정 윈도우를 넓게 하기 위해 스터브 특성 임피던스를 최소화시킴:
동조 공간을 넓게 하거나 시스템 Q를 감소시키는 다른 선택은 스터브(135)의 특성을 감소시키는 것이다. 그러나, 스터브 특성 임피던스는 적당한 정합 공간을 유지시키기 위해 발생기 출력 임피던스를 초과할 수 있다. 그러므로, 시스템 Q는, 스터브(135)의 특성 임피던스가 신호 발생기(150)의 출력 임피던스를 초과하는 양을 감소시키는 정도로 감소될 수 있다.
동축 스터브(135)의 특성 임피던스는 외부 도체들(140, 145)의 반경들, 및 그 사이의 절연체(147)의 유전 상수의 함수이다. 스터브 특성 임피던스는 플라즈마 전력 소스(150)의 출력 임피던스와 전극(135)에 입력 임피던스 사이의 필요한 임피던스 변환을 제공하도록 선택된다. 이러한 특성 임피던스는 최소 특성 임피던스와 최대 특성 임피던스 사이에 존재한다. 스터브(135)의 특성 임피던스의 변화는 도 2의 파형을 변화시키고, 그에 의해 탭(160)의 원하는 위치를 변화시킨다(즉, 스터브(135)의 더 멀리 떨어진 단부로부터의 A의 변위). 스터브(135)의 이러한 허용가능한 최소 특성 임피던스는, 도 2의 거리(A)가 제로여서 탭(160)이 정상파 전류와 전압 사이에 50옴 비율을 갖도록 하기 위해 전극(125)에 대향하는 동축 스터브(135)의 더 멀리 떨어진 단부(135a) 상에 위치되도록 하는 값이다. 스터브(135)의 이러한 허용가능한 최대 특성 임피던스는, 도 2의 거리(A)가 스터브(135)의 길이와 동일하여서 탭(160)이 정상파 전류와 전압 사이에 50옴 비율을 갖도록 하기 위해 전극(125)에 인접하는 동축 테이블(135)의 더 가까운 단부(135b)에 가깝게 되도록 하는 값이다.
최초 실시예에서, 동축 스터브 측성 임피던스는 적당한 정합 공간을 제공하기 위해, RF 발생기(150)의 출력 임피던스보다 더 큰 값(약 30% 만큼)이 되도록 선택되었다. 스터브 임피던스는 RF 발생기 출력 임피던스를 초과해야만 하는데, 왜냐하면 임피던스 정합 조건은 다음의 식 조건을 만족시키기 위해 탭 포인트(160)의 위치를 선택함으로써 달성된다:
Zgen = a2[Zstub 2/rplasma], 여기서 a는 탭 포인트의 위치에 의해 결정되고, 0과 1 사이에서 가변된다. (숫자 a는 더 먼 단부(135b)와 탭(160) 사이의 스터브의 작은 부분의 인덕턴스에 대한 전체 스터브(135)의 인덕턴스의 비율에 해당한다.) a는 1을 초과할 수 없기 때문에, 스터브 특성 임피던스는 앞서 언급한 방정식의 해를 풀기 위해 발생기 출력 임피던스를 초과해야만 한다. 그러나, 시스템의 Q는 스터브 특성 임피던스에 정비례하기 때문에, 스터브 특성 임피던스가 발생기 출력 임피던스를 초과하는 양은 Q를 유지시키에 어느정도 실제만큼 낮게 최소화될 수 있다. 예시적 실시예에서, 스터브 특성 임피던스는 단지 약 15옴만큼 발생기 출력 임피던스를 초과한다.
그러나, 다른 실시예들에서, 동축 스터브 특성 임피던스는 임피던스 정합의 어느정도 감소에 의한 큰 전력 효율을 달성하기 위해, 플라즈마 전력원(발생기) 출력 임피던스보다 더 작게 선택될 수도 있다.
스터브의 임피던스 변환에 의해 제공되는 증가된 전력 효율:
본 명세서에서 앞서 논의된 바와 같이, 플라즈마 에칭 공정들에 적당한 플라즈마 동작 상태들(예를 들어, 플라즈마 밀도)은 매우 작은 실수부(저항)(예를 들어, .3 옴 미만)와 작은 허수부(리액턴스)(예를 들어, 7옴)을 갖는 플라즈마 임피던스이다. 용량성 손실은 시스템의 전극-플라즈마 결합 영역에서 우세한데, 왜냐하면 전극 커패시턴스는 리액터의 그 부분에서 조류(power flow)에 대한 우세 임피 던스이다. 그러므로, 전극-플라즈마 결합에서의 전력 손실은 전극-플라즈마 결합 상에서의 전압에 비례한다. 반대로, 인덕턴스 및 저항 손실은 스터브(135)에서 지배적인데, 그 이유는 스터브(135)의 인덕턴스 및 저항은 스터브(135) 내의 조루에 대한 임피던스의 우세 엘리먼트들이기 때문이다. 그러므로, 스터브(135)에서의 전력 손실은 스터브 내의 전류에 비례한다. 스터브 특성 임피던스는 전극-플라즈마 결합으로 표시되는 임피던스에 실수부보다 훨씬 더 크다. 그러므로, 더 큰 임피던스 스터브(135)에서, 전압은, 전류가 더 크고 전압은 더 낮은 저 임피던스 플라즈마에서보다 더 클 것이고, 전류는 더 낮을 것이다. 따라서, 스터브(135)와 플라즈마-전극 결합 사이의 임피던스 변환은 스터브(135) 내의 더 높은 전압 및 더 낮은 전류(저항 및 인덕턴스 손실이 우세하고 현재 그 손실이 최소인 곳), 그에 따라 플라즈마/전극에서의낮은 전압 및 더 높은 전류(용량성 손실이 우세하고 현재 그 손실이 최소인곳)를 발생시킨다. 이러한 방식으로, 시스템에서의 전체 전력 손실은 최소화되고, 이에 의해 전력 효율은 상당히 개선되며 이는 상당한 이점이라 할 수 있다. 앞서 언급한 실시예에서, 전력 효율은 약 95% 이거나 그 이상이다.
그러므로, 위에서 설명된 바와 같이 구성된 스터브(135)는 매우 넓은 동작 범위 또는 윈도우에 대해 발생기와 전극-플라즈마 임피던스들 사이의 임피던스 정합 또는 변화를 제공하는 역할 뿐만 아니라, 추가로 전력 효율에 있어서 상당한 개선을 제공한다.
교차-접지:
웨이퍼 표면에서의 이온 에너지는 플라즈마 밀도/오버헤드 전극 전력과는 무 관하게 제어될 수 있다. 이온 에너지의 그러한 독립적 제어는 HF 주파수 바이어스 전원을 웨이퍼에 인가함으로써 달성된다. 이러한 주파수(전형적으로 13.56MHz)는 플라즈마 밀도에 관여하는 오버헤드 전극에 인가되는 VHF 전원보다 훨씬 더 낮다. 바이어스 전원은 기존의 임피던스 정합 회로(210)를 통해 웨이퍼 지지대(105)에 결합된 바이어스 전원 HF 신호 발생기(200)에 의해 웨이퍼에 인가된다. 바이어스 발생기(200)의 전력 레벨은 웨이퍼 표면 근처의 이온 에너지를 제어하고, 일반적으로는 플라즈마 소스 전력 발생기(150)의 전력 레벨의 부분이다.
위에서 참조된 바와 같이, 동축 스터브(135)는 내부와 외부 동축 스터브 도체들(140, 145) 사이에 단락 회로를 제공하는 외부 스터브 단부에서 단락 도체(165)를 포함한다. 단락 도체(165)는 도 2에서와 같이 VHF 정상파 전류 피크치 및 VHF 정상파 전압 널값의 위치를 설정한다. 그러나, 단락 도체(165)는 스터브 공진 및 플라즈마/전극 공진의 결합으로 인해서, VHF가 인가된 전력을 단락시키지는 않는데, 상기 두개의 공진은 VHF 전원 주파수 또는 그 근처에 있다. 그러나, 도체(165)는 (HF 바이어스 발생기(200)로부터)웨이퍼에 인가된 HF 바이어스 전원과 같은 다른 주파수들에 대해 접지로의 직접 단락으로써 나타난다. 또한, 플라즈마 쉬스에 발생된 VHF 전원의 고조파들과 같은 높은 주파수들을 단락시킨다.
웨이퍼(100)와 웨이퍼 지지대(105)의 결합, 거기에 결합된 HF 임피던스 정합 회로(210)과 HF 바이어스 전원(200)은 매우 낮은 임피던스를 제공하고, 오버헤드 전극(125)에 인가된 VHF 전력에 대한 접지에 거의 단락된다. 그 결과, 시스템은 교차-접지되고, HF 바이어스 신호는 오버헤드 전극(125)과 단락된 동축 스터브 (135)를 통해 접지로 리턴되며, 오버헤드 전극(135) 상의 VHF 전력 신호는 웨이퍼, HF 바이어스 임피던스 정합 회로(210) 및 HF 바이어스 전력 발생기(200)를 통해 (VHF에 대한)매우 낮은 임피던스 경로를 거쳐 접지로 리턴된다.
웨이퍼 평면과 오버헤드 전극(125) 평면 사이의 챔버 측 벽의 노출 부분은 전극(125)의 대면적 및 상대적으로 짧은 전극-웨이퍼간 갭으로 인해 오버헤드 전극(125)에 인가된 VHF 전력에 대한 직접 리턴 경로로서의 역할을 거의 하지 않거나 아예 하지 않는다. 사실, 챔버의 측벽은 자기 절연체 또는 유전체 코팅 또는 환형 유전체 삽입 또는 제거가능 라이너를 이용하여 플라즈마로부터 절연될 수 있다.
수직 전극-페데스탈 경로 내에서 측벽과 같은 챔버(100)의 다른 부분들로부터 떨어져 오버헤드 전극(125)로부터 방출되는 VHF 플라즈마 전원의 전류량을 한정하기 위해, 웨이퍼(110)의 평면에서 실효 접지 또는 리턴 전극 영역은 웨이퍼 또는 웨이퍼 지지대(105)의 물리적 영역 위쪽에서 확대되고, 이에 의해 오버헤드 전극(125)의 영역을 초과하게 된다. 이는, 웨이퍼(110)와 일반적으로 동일평면에 있고 웨이퍼를 둘러싸는 환형 반도체 링(115)을 제공함으로써 달성된다. 반도체 링(115)은 접지된 챔버 바디에 부유 커패시턴스를 제공하고, 이에 의해 오버헤드 전극으로부터 VHF 전력 신호에 대한 웨이퍼(110) 평면에서의 "리턴" 전극의 실효 반경을 연장시키게 된다. 반도체 링(115)은 유전체 링(120)에 의해, 접지 챔버 바디로부터 절연된다. 링(120)의 두께 및 유전상수는 웨이퍼(110) 및 반도체 링(115)을 통한 VHF 접지 전류의 바람직한 비율을 달성하도록 선택된다. 일실시예에서, 유전체 링(120)은 유전상수 4와 100mm의 두께를 갖는, 석영이었다.
웨이퍼 표면과 전극(125) 사이의 수직경로 내에서 바이어스 발생기(200)로부터 HF 플라즈마 바이어스 전력으로부터의 전류를 한정하고, 챔버의 다른 부분들(예를 들어, 측벽)로의 전류 흐름을 회피하기 위해, 오버헤드 전극(135)은 웨이퍼 또는 웨이퍼 지지대(105)의 영역에서보다 훨씬 더 큰 실효 HF 리턴 전극 영역을 제공한다. 웨이퍼 지지대(105) 평면에서의 반도체 링(115)은 HF 바이어스 전력을 챔버에 결합시키는 중요한 역할을 하지 않으며, 이에 의해 HF 바이어스 전력을 결합시키기 위한 실효 전극 영역은 웨이퍼 및 웨이퍼 지지대(105)의 영역으로 필수적으로 한정된다.
플라즈마 안정도 향상:
플라즈마 안정도는 스터브(135)의 뒷쪽에서 내부 및 외부 스터브 도체들(140, 145) 양단에 연결된 단락 도체(165)로의 플라즈마의 DC 결합을 제거함으로써 향상되었었다. 이는, 동축 스터브 내부 도체(140)과 전극(125) 사이에 얇은 용량성 링(180)을 제공함으로써 달성된다. 도 1의 실시예에서, 링(180)은 하부면 상의 전극(125)과 용량성 환형 내부 하우징 지지대(176) 사이에 놓인다. 본 명세서에서 설명된 예시적 실시예들에서, 용량성 링(180)은 약 13MHz로 선택된 바이어스 주파수에 따라, 약 180pF의 커패시턴스를 갖았다. 그러한 커패시턴스 값에 의해, 용량성 링(180)은 위에서 설명한 교차-접지 특성을 방해하지 않는다. 교차-접치 특성에서, 웨이퍼 페데스탈 상에서의 HF 바이어스 신호는 스터브(135)를 통해 HF 바이어스 발생기(150)의 RF 리턴 단자로 리턴되고, 이와 함께 전극(125)으로부터의 VHF 전원 신호는 웨이퍼 페데스탈을 통해 VHF 소스 전력 발생기(150)의 RF 리턴 단자로 리턴된다.
도 5는 주파수의 함수로써, VHF 전원과 오버헤드 전극(125) 사이의 반사 전계를 도시하는 그래프이다. 이러한 그래프는 고 광대역 주파수들의 존재를 도시하고, 상기 주파수에 대해 반사 계수는 6dB 이하이며, 이는 위에서 논의된 상당히 유리한 낮은 시스템 Q를 나타낸다.
도 6은, 탭(160)이 스터브의 더 짧은 단부로부터 도 2b의 거리(A)에 위치되는 경우에, 동축 스터브(135)를 따른 위치 함수로써 정상파 전류(실선)를 도시한다.
도 7은 리액터의 대체 실시예를 도시하는데, 이때 오버헤드 전극(125)에 인접한 가까운 스터브 단부(135b)에서는 큰 반경을 갖고 더 멀리 떨어진 스터브 단부(135a)에서는 더 작은 반경을 가지면서 동축 스터브(135)의 내부 도체(140)가 테이퍼링된다. 이러한 특성은, 탭(160)에서 동축 스터브(135)에 의해 표시되는 낮은 임피던스(예를 들어, 50옴)과 오버헤드 전극(125)에서 동축 스터브(135)에 의해 표시되는 높은 임피던스(예를 들어, 64옴) 사이의 전이를 제공한다. 또한, 도 7에도시된 바와 같이, 스터브(135)는 곡선형 일 필요가 없으며 대신 직선형일 수 있다.
처리 가스들은 오버헤드 VHF 전원 전극을 통해 유입되는 것이 바람직하다. 이러한 목적을 위해, 오버헤드 전극은 작은 가스 주입 노즐들 또는 포트들의 어레이를 오버헤드 전극을 통해 제공함으로써, 가스 분배 샤워헤드 기능이 부여된다. 처리 가스들은 동축 동조 스터브의 중앙 도체를 통해 이러한 주입 포트들에 공급된다. 중앙 도체는 오버헤드 전극에 결합되기 때문에, 처리 가스 공급은 플라즈마 및 전계로부터 완벽하게 보호된다.
아킹 및 또다른 잠재적 문제점은 특성들의 결합을 통해 위에서 언급된 모든 장점들을 유지하면서 회피되는데, 상기 결합 중 하나는, 오버헤드 전극을 VHF 동조 스터브로부터 용량성 절연시킴으로써 부유 DC 전위에 연결시키는 것이다. 이는, 유전체막을 동축 동조 스터브와 오버헤드 전극 사이에 놓음으로써 달성된다. 이러한 특성으로 인해, DC 플라즈마가 오버헤드 전극을 거쳐 동조 스터브를 통해 리턴되는 것이 방지되고, 이에 의해 오버헤드 전극에서의 가스 주입 홀들 내의 아킹이 감소된다.
아킹을 감소시키는 또다른 특성은, 플라즈마와 오버헤드 전극 사이에 커패시턴스를 제공하는 것이다. 이러한 목적을 위해, 유전체 층이 플라즈마와 면하고 있는 오버헤드 전극의 전극 표면 상에 형성된다. 이는, 그러한 표면들, 특정하게는 전극 내의 가스 주입 포트들의 내부 표면들을 양극처리(anodizing)함으로써 이루어진다. 이러한 특성은 오버헤드 전극에서 가스 주입 포트들 내의 플라즈마 아킹을 제거하는데 일조한다. 이에 대한 한가지 이유는, 양극화된 전극 표면들의 커패시턴스는 통과되기보다는 저장되어지는 플라즈마로부터 전극 표면들로 RF 전류의 일부 충전을 허용하는 전하 저장 용량성을 제공한다는 것이다. 그러므로 전하가 오버헤드 전극 내의 가스 인입 포트들의 표면으로부터 전환되는 정도까지는, 내부로의 플라즈마 점화는 회피된다.
오버헤드 전극의 가스 주입 포트들 내부에서의 플라즈마 아킹 회피 이외에도, 오버헤드 전극을 용량성 절연시키는 특성은 전극의 이용가능 수명을 연장시키 는데, 그 이유는 플라즈마와 전극 사이에 순 DC 전류가 존재하지 않게 되기 때문이며, 이는 큰 장점이라 할 수 있다.
가스 주입 포트들에서의 플라즈마 아킹의 위험성을 더 감소시키기 위한 또다른 특성은, 전극과 동축 동주 스터브 사이에 놓인 용량성 층과 동축 스터브 사이에 소위 금속 "거품(foam)" 층이 유입된다는 것이다. 일실시예에서, 금속 거품 층은 일반적으로 오버헤드 전극과 동일한 공간에 걸친 직경을 갖는다. 금속 거품층은 당업계에서 상업적으로 이용가능하고, 랜덤 셀 구조물을 갖는 알루미늄 매트릭스로 구성된다. 금속 거품층의 장점은, 상기 층이 전극 근처(즉, 오버헤드 전극 위쪽의 플레넘)의 전계를 억압하고 그리하여 플라즈마가 오버헤드 전극 내의 가스 주입 포트들 내부에서 아킹하려는 경향을 감소시킨다는 것이다.
금속 거품층은 또한 오버헤드 전극의 가스 주입 포트들의 어레이에 대한 균일한 가스 분배를 달성하기 위해, 인입하는 처리 가스를 배플링시키는데 이용된다. 오버헤드 천정에서의 가스 주입 홀들 또는 포트들은 방사상의 내부 그룹 및 방사상의 외부 그룹으로 분할될 수 있다. 하나의 금속 거품 층은 제 1 가스 공급원과 포트들의 외부 그룹 사이에서 배플링시키고, 다른 금속 거품층은 제 2 가스 공금원과 포트들의 내부 그룹 사이에서 배플링시킨다. 처리 가스 흐름의 방사상 분배는 두 개의 가스 공급원들의 가스 유량의 조절과는 무관하게 조정될 수 있다.
동축 동조 스터브 및 오버헤드 전극은 웨이퍼 지지대 페데스탈에 인가된 HF 바이어스 전력에 대한 접지에 낮은 임피던스 RF 리턴 경로를 제공한다. 그러나, 현재 동축 동조 스터브와 오버헤드 전극 사이에 삽입된 새로운 용량성 유전체 층은 리턴 HF 경로를 오버헤드 전극을 통해 특정 HF 주파수에 동조시키는데 이용될 수 있다는 것이 밝혀졌다. VHF 전원 주파수(오버헤드 전극 상에서)의 선택의 한가지 장점은, 만일 HF 주파수들에 대해 동조된다면, (오버헤드 전극과 동조 스터브 사이의) 용량성 층은 오버헤드 전극에 인가된 VHF 신호에 영향을 미치지 않는데, 왜냐하면 이는 VHF 주파수들의 광대역에 대한 전기적 단락이기 때문이다.
초기에, RF 리턴 경로가 추가 용량성 층에 의해 동조되는 협역 HF 주파수 통과 대역은 웨이퍼 지지대 페데스탈에 인가된 HF 바이어스 전원의 주파수에 중심이 맞추어졌다. 그러나, 쉬스-생성 고조파들의 문제점은 HF 리턴 경로를 오버헤드 전극을 통해 HF 바이어스 전력 신호의 제 2 고조파에 동조시키기 위해 이러한 커패시턴스를 대신 선택함으로써 해결된다. 이러한 선택의 문제점은, 오버헤드 전극 근처에서 플라즈마 쉬스에 생성된 HF 제 2 고조파는 벌크 플라즈마에 상당히 영향을 미치기 전에 오버헤드 전극을 통해 접지에 분로된다(shunt). 에칭율은 일실시예에서 10% 내지 15% 정도 이러한 특성을 향상시켰다. 이러한 경우에 있어서, HF 바이어스 신호의 기본은 챔버 측벽과 같은 다른 이용가능한 RF 경로들을 통해 접지로 리턴된다고 알려진다.
아래에서 좀 더 상세하게 논의되는 바와 같이, 선택된 HF 주파수에서 공진을 위한 이러한 추가된 용량성 층(오버헤드 전극과 동조 스터브 사이)의 커패시턴스의 선택은 오버헤드 전극에서의 박막 플라즈마 쉬스 뿐만 아니라 웨이퍼 지지대 페데스탈에서의 두꺼운 플라즈마 쉬스의 커패시턴스를 고려해야만 한다.
본 발명의 리액터의 높은 효율의 VHF 플라즈마 소스는 충분히 높은 밀도의 플라즈마를 유지시킬 수 있는데, 이에 의해 챔버 내부를 주기적으로 완벽하게 건조-세정하는데 이용될 수 있기 때문이다. 본 명세서에서 사용된 바와 같은, "건조-세정"이라는 용어는 액체 화학 작용제의 응용예에서는 필요하지 않지만, 플라즈마 응용예에서 필요한 세정 절차를 언급하는 것으로써, 진공 엔클로저가 개방될 필요가 없게된다. 이러한 방식에서는 챔버는 중합체 잔유물을 완벽하게 세정할 수 없기 때문에, 웨이퍼 처리 동안의 챔버 표면들은 그 위의 임의의 중합체 증착을 계속하여 증발시키기에 충분한 고온으로 유지될 수 있고, 이에 의해 챔버는 처리 동안에는 적어도 중합체 증착은 거의 없게 된다. (반대로, 완벽하게 세정될 수 없는 리액터에 대해, 처리의 오염 물질을 피하기 위한 플라즈마 조건은, 챔버 벽 상 표면의 중합체 증착이 제거되기 보다는 부착되어 있도록 제어되어야만 한다.) 이러한 목적을 위해, 오버헤드 전극 어셈블리는 오버헤드 전극을 가열 또는 냉각시키기 위한 유동액을 유입시키기 위한 액체 통로들을 포함함으로서, 외부 표면들의 온도 제어를 가능하게 하여준다. 일반적으로, 플라즈마 조건들(이온 에너지, 벽 온도 등)은, 처리 동안에 챔버 표면들 상에 중합체가 축적되지 않도록 하는 것이다. 임의의 작은 축적은 세정 동안에 완벽하게 세정된다.
그러한 특성의 하나의 장점은, 광학 윈도우가 오버헤드 전극 상에 또는 그에 인접하여 제공될 수 있다는 점인데, 왜냐하면 처리 동안에 중합체 증착이 제거되거나 세정된 상태로 유지될 것이기 때문이다. 그러므로, 리액터 성능은 광학적으로 모니터링될 수 있다. 따라서, 오버헤드 전극은 그 중앙 근처에 광학 윈도우를 포함할 수 있고, 광 전달 광섬유 케이블은 챔버의 외부에서 센서에 연결되기 위해 위 쪽을 향해 연장한다. 플라즈마 처리의 광학적 모니터링은 종단-포인트 탐지를 수행하는데 이용될 수 있다. 예를 들어, 광학적 모니터는 기존의 광학적 측정 기술들을 이용하여, 플라즈마 에칭 공정에서 층 두께의 감소 또는 플라즈마-보조 화학적 기상 증착 공정에서 층 두께의 증가를 측정할 수 있다.
플라즈마에 인입되어 최종적으로 웨이퍼 또는 워크피스에 도달하는, 오버헤드 전극의 노출 표면들의 물질로부터의 오염물질 문제를 해결하기 위해, 추가의 외부 층이 오버헤드 전극 하부 표면(플라즈마와 면하는 표면) 상으로 유입된다. 이러한 추가의 외부 층은 수행되는 특정 공정에서와 대체가능한 재료로 형성된다. 예를 들어, 실리콘 디옥사이드 에칭 공정에서, 오버헤드 전극 상의 외부층은 실리콘 또는 실리콘 카바이드이다. 위에서 언급한 바와 같이, 일반적으로, 이러한 외부층 이전에, 오버헤드 전극 플라즈마-대면 표면이 양극처리된다.
본 발명의 리액터의 또다른 장점은, 플라즈마는 이전에 기대되었던 것보다, 더 큰 저항성 부하 임피던스 변이 및 더 작은 리액턴스 부하 임피던스 변이를 나타낼 수 있다. 특정하게, 저항성 부하 임피던스는 (60:1 대신에)100:1 만큼 가변될 수 있고, 리액턴스 부하 임피던스는 (35% 대신에)단지 20% 만큼 가변될 수 있다. 이러한 차이는, 동축 동조 스터브의 특성 임피던스가 65옴(RF 발생기의 50옴 출력 임피던스보다 큼)에서 30옴(RF 발생기의 출력 임피던스)으로 하향시키도록 해준다. 이러한 감소로 인해, 효율면에서 매우 작은 절충을 갖는 동조 공간에서의 비례 증가가 달성된다. 특정하게, 동조 스터브에 의해 정합될 수 있는 플라즈마 저항성 부하 임피던스의 변이 범위는 동축 스터브 특성 임피던스에서의 감소로 인해 60:1 에서 100:1로 증가된다. 동축 스터브의 이러한 특성 임피던스는 스터브의 내부 및 외부 도체들의 직경에 의해 결정된다.
동축 동조 스터브의 푸트프린트를 감소시키기 위해, 등가의 스트립 라인 회로가 그 대신 대체된다. 동축 동조 스터브의 외부 도체는 리액터를 캐핑(capping)하는 금속 리드로서 접지면 평면이 되고, 동축 동조 스터브의 중앙 도체는 스트립 라인 도체가 된다. 스트립 라인 도체의 특성 임피던스는 스트립 라인 도체와 접지면(리드) 사이의 공간을 조정함으로써 조정된다. 동조 디바이스의 푸트프린트는 감소되는데, 왜냐하면 동축 동조 스터브는 직선을 따라 연장하고는 반면 스트립 라인 도체는 리드 내부의 원형 둘레에 감겨서 푸트프린트 영역을 감소시킬 수 있기 때문이다. 동축 동조 스터브의 모든 특성들은 스트립 라인 회로에 유지된다. 그러므로, 스트립 라인 도체의 길이는 위에서 설명한 바와 같이, 동축 동조 스터브의 길이에서와 같은 방식으로 결정된다. 또한, VHF 발생기에 연결된 공급 포인트 또는 탭에 애한 스트립 라인 도체의 길이를 따른 위치는 동축 동조 스터브에 대한 탭에서와 동일하다. 또한, 스트립 라인 도체는 공동(hollow)이며, 유틸리티은 동축 동조 스터브 중심 도체를 통해 공급되는 것과 동일한 방식으로 스트립 라인 도체를 통해 공급된다.
오버헤드 전극을 통해 처리 가스를 유입시킴:
도 8 및 도 9를 참조하면, 오버헤드 전극(125)은 가스 분배 샤워헤드이므로, 워크피스 지지대(105)와 면하는 하부 표면(125a)에 상당히 많은 수의 가스 주입 포트들 또는 작은 홀들(300)을 구비한다. 예시적 실시예에서, 포트들(300)은 0.01 내지 0.03의 직경이었고, 중심은 약 3/8 인치만큼 균일하게 이격된다. 도 8의 실시예에서, 원뿔형의 금속 하우징(290)의 환형 상부(290a)는 동축 스터브 내부 도체(140)의 가까운 단부(140a)를 지지하고 환형 베이스(290b)는 알루미늄 오버헤드 전극(125) 상에 놓인다. 하우징(290)의 원뿔 형태는 오버헤드 전극에 대해 큰 개구 플레넘을 한정하고, 상기 플레넘 내부에서 동공 동축 내부 도체(140)에서 오버헤드 전극(125)로 공급되는 다양한 유틸리티이 존재한다. 아래에서 좀 더 자세히 논의되는 바와 같이, 원뿔형 하우징 베이스(290b)는 오버헤드 전극(125)의 외부 둘레 근처에 존재하고, 오버헤드 전극(125) 의 상부 표변의 거의 전부를 허용 가능하게 해준다.
일반적으로, 포트들(300)은 0.020 직경의 방사상 외부 그룹 포트들(302), 및 0.010 직경의 방사상 내부 그룹 포트들(304)로 구성된다. 일반적으로, 외부 그룹 포트들(302)은 약 웨이퍼 반경의 절반만큼 웨이퍼 둘레 위쪽으로 연장하는데, 이는 웨이퍼 주변부에서의 균일한 가스 흐름을 보장하기 위함이다. 이러한 특성의 한가지 장점은, 처리 가스 흐름의 방사 방향 분배는, 웨이퍼 중앙에서보다는 더 크고 웨이퍼 주변부에서보다는 더 작은 플라즈마 밀도를 생성하기 위해 도 1 내지 도 7의 리액터에 VHF가 용량성 결합되려는 경향을 보상하는 방식으로, 조정될 수 있다. 오버헤드 전극(125) 내부의 방사상 외부 금속성 거품층(310)은 포트들(302) 위쪽에 놓인다. 외부 거품층(310) 위쪽에 놓이는 방사상 외부 가스 분배 매니폴드 또는 플레넘(315)은 동축 동조 스터브(135)의 내부측 도체(140)를 통해 통과하는 가스 공급 라인(325)에 축상의 가스 통로(320)를 통해 결합된다. 오버헤드 전극(125) 내부의 방사상 내부 알루미늄 거품층(330)은 포트들(304) 위쪽에 놓인다. 내부 거품층(330) 위쪽에 놓이는 방사상 내부 가스 분배 매니폴드 또는 플레넘(335)은 동축 동조 스터브(135)의 내부측 도체(140)를 통해 통과하는 가스 공급 라인(345)FP축상의 가스 통로(340)를 통해 결합된다. 알루미늄 거품층들(310 및 330)은 입력되는 처리 가스들을 배플링시킨다. 처리 가스 유량의 방사상 분배는 가스 공급 라인들(325 및 345) 각각의 내부에서의 처리 가스 유량의 독립적 선택에 의해 조정된다.
가스 주입 포트들의 아킹 억업 :
아킹 감소 수단으로써 플라즈마와 오버헤드 전극 사이에 커패시턴스를 제공하기 위해, 오버헤드 전극 하부 표면(125a)은 유전체 층으로 코팅된다. 일실시예에서, 오버헤드 전극(125)은 알루미늄이고, 유전체 코팅은 전극 하부 표면(125a)을 양극처리함으로써 형성된다. 그러한 양극처리는 평평한 하부 표면(125a)상 뿐만 아니라 가스 주입 포트들(300)의 내부측 표면들 상에 매우 얇은 유전 코팅을 형성한다. 이러한 특성은, 오버헤드 전극(125)으로 흐르는 RF 플라즈마 전류를 보상할 수 있는 전하 저장 능력을 제공함으로써 가스 주입 포트들 내에서 아킹을 억압하여는 경향을 갖는다. 도 10은 가스 인입 포트들(300) 중 하나의 포트 근처의 최종 세밀 구조물을 도시하는 도 8에 대응하는 확대 부분도이다. 특정하게는, 양극처리에 의해 형성된 알루미늄 옥사이드 층(350)은 전극 하부 표면(125a)을 커버하고, 가스 주입 포트(300)의 내부측 표면을 커버한다.
오버헤드 전극(125) 근처에서 전계를 억압하기 위해, 오버헤드 전극(125)의 상부 표면(125b)은 상대적으로 두꺼운(0.25인치) 알루미늄 거품층(355)으로 커버된다. 두꺼운 알루미늄층(355)은 축 방향(수직 방향)으로 오버헤드 전극 근처의 전위를 일정하게 유지시키려는 경향이 있고, 이에 의해 그렇지 않으면 그 근처에서 가스 주입 포트들(300) 내부의 플라즈마 아킹에 기여하는 전계를 억압한다.
오버헤드 전극을 통해 동축 스터브 중앙 도체(140)로 흐르는 DC 플라즈마 전류들을 차단하기 위해, 박막 절연 층(360)이 오버헤드 전극(125)과 도전성 하우징(290)의 베이스(290b) 사이에 위치되는데, 상기 베이스는 오버헤드 전극(125)을 동축 중앙 도체(140)에 연결시킨다. 이러한 특성으로 인해, 오버헤드 전극의 DC 전위는 부유될 수 있다. 이에 의해, 커패시터가 오버헤드 전극(125)과 전도성 하우징 베이스(290b) 사이에 형성된다. 이러한 커패시터의 커패시턴스는 베이스(290b)의 영역 뿐만 아니라 박막 절연층(360)의 유전상수와 두께의 의해서도 결정된다. 이러한 커패시터의 커패시턴스는 특정 HF 주파수에서의 좁은 공진 또는 낮은 임피던스 경로를 제공하면서 전체 VHF 대역에 대해 RF 단락을 제공하도록 선택될 수 있다. 이러한 방식으로, 오버헤드 전극(125)은 웨이퍼 지지대 페데스탈(105)에 인가되는 HF 바이어스 전력을 위한 리턴 경로를 제공하지만, VHF 전원 주파수에서의 오버헤드 전극(125)으 특성에는 영향을 미치지 않는다. 그러므로, 오버헤드 전극으로 흐를 수 있는 DC 플라즈마 전류를 차단시킴으로써, 가스 주입 포트들(300) 내부의 플라즈마 아킹은 억압되는데, 왜냐하면 그러한 DC 전류는 아킹에 기여하기 때문이다.
요약하면, 가스 주입 포트들(300) 내부의 플라즈마 아킹은 오버헤드 전극 (125)의 상부 상에 알루미늄 거품층(355)을 제공하고 오버헤드 전극(125)과 전도성 하우징(290) 사이에 박막 절연층(360)을 제공함으로써, 오버헤드 전극(125)의 하부면 상 및 가스 주입 포트들(300)의 내부측 표면들 상에 유전체 코팅(350)을 형성함으로써 억압된다.
플라즈마 쉬스 -생성 고조파들의 억압:
박막 절연층(360)은 웨이퍼 지지대 페데스탈(105)에 인가된 HF 바이어스 신호의 플라즈마 쉬스-생성 고조파들을 억압하는데 있어 중요한 역할을 할 수 있다. 그러한 고조파의 존재는 공정 성능을 저하시키는데, 특히 에칭율을 감소시킨다. 절연층(360)의 커패시턴스-결정 특성들(즉, 유전 상수 및 두께)을 선택함으로써, 플라즈마로부터 오버헤드 전극(125)과 동축 내부 도체(140)를 통하는 리턴 경로는 특정 HF 주파수에서 공진하도록 동조된다(그러므로 매우 높은 어드미턴스를 갖게 된다). 이러한 공진 주파수를 위한 한가지 선택은 웨이퍼 지지대 페데스탈(105)에 인가된 HF 바이어스 신호의 기본파일 수 있는 반면, 이러한 공진이 바이어스 신호의 제 2 고조파이도록 선택함으로서 10% 내지 15% 정도 에칭율이 향상되는 리액터를 개시했다. 그러한 적절한 결과는, 플라즈마 쉬스에 의해 표현된 비-선형 부하에 의해 생성된 고조파는 용량성 층(360)에 의해 오버헤드 전극 및 동축 중심 도체(140)에 의해 표시된 저 임피던스 경로를 통해 접지로 신속히 리턴되기 때문에 달성된다.
오버헤드 전극(125)을 통해 특정 HF 주파수에 리턴 경로를 동조시키기 위해 커패시터 층(360)의 두께를 선택하는 것은, 오버헤드 전극(125)에서의 박막 플라즈 마 쉬스의 커패시턴스, 웨이퍼 지지대 페데스탈(105)에서의 두꺼운 플라즈마 쉬스의 커패시턴스 뿐만 아니라 플라즈마 자체의 커패시턴스를 포함하여 다수의 인자들에 의해 영향을 받을 수 있다. 시행착오를 포함하여 특정 플라즈마 동작 상태들이 주어진 선택된 HF 주파수에서의 공진을 달성하기 위해 용량성 층(360)의 정확한 두께를 찾기 위해 당업자에게는 다수의 종래 기술들을 쉽게 이용할 수 있다.
전극 표면 온도 제어:
옥사이드 에칭 리액터에서, 중합체 증착은 심각한 문제인데, 왜냐햐면 처리 가스는 에치되지 않는 다른 재료와 실리콘 디옥사이드 재료 사이에서 선택적으로 적합한 에칭을 달성하기 위해 워크피스 상의 비-옥사이드 포함 표면들에 대해 중합체 층들을 형성할 수 있어야만 한다. 플루오르화 탄소 가스를 이용하는 플라즈마 공정들 동안에, 더 간단한 플루오르 이온들 및 기들은 에칭을 수행하고, 카본-리치 종들은 워크피스 상의 비-산호-함유 재료들 전체 뿐만 아니라 리액터 챔버의 내부측 표면들에 대해 중합체를 증착한다. 챔버 내부측 표면들로부터 플라즈마로 떨어지는 중합체 입자들에 의한 워크피스의 오염물질을 회피하기 위해, 이러한 표면들은 충분히 낮은 온도에서 유지되야만 하고, 플라즈마 전극 에너지는 챔버 내부측 표면들에서 그러한 증착이 떨어지는 것을 회피하기에 충분히 낮게 유지되어야만 한다. 대안으로써, 챔버 진공은 그러한 증착을 제거하기 위해 중간에 화학적 세정 단계가 수행되어야만 하는데, 이러한 단계는 리액터의 생산성을 상당히 감소시킨다.
도 1을 참조하여 설명된 용량성 결합된 VHF 전원은 매우 효율적이므로, 비- 화학적 세정 단계 동안에, 챔버 내부측 표면들로부터 웨이퍼 처리 동안에 증착된 임의의 중합체 잔유물을 완벽하게 제거하기에 충분히 높은 플라즈마 밀도를 생성할 수 있다. 그러한 세정 단계 동안에, 보통의 플라즈마 공정 가스들은 좀더 휘발성인 가스(예를 들어, 거의 플루오르 함유물을 갖지 않는 플라즈마를 생성하려는 경향을 갖는 가스)로 대체될 수 있다. 챔버 내로 액체 화학물질은 유입될 필요가 없기 때문에, 챔버는 폐쇄된 채로 유지되고 세정 단계는 중합체 증착이 없도록 챔버를 유지하기 위해 신속히 자주 수행될 수 있다. 그러므로, 도 8의 리액터의 한가지 동작 모드는, 챔버표면 온도들 및 플라즈마 이온 에너지들이 내부측 챔버 표면들 상에의 중합체 축적을 회피하기에 충분히 큰 모드이다.
이러한 목적을 위해, 도 8의 리액터는 오버헤드 전극(125) 상의 (열- 도전성 유동액용) 통로들(670)을 포함한다. 도 8의 구현예에서, 유동액 통로(670)은 오버헤드 전극(125)의 상부 알루미늄 거품층(355)과 오버헤드 전극(125)의 상부 표면 사이에 형성된다. 대안으로써, 그러한 통로들은 오버헤드 전극(125) 내부에 완벽히 내부적으로 형성될 수 있다. 온도-제어식 유동체 또는 가스는 공동 내부 동축 도체(140)를 통해 통과하는 유동체 공급 라인(675)으로부터 유동체 통로들(670)에 공급된다. 그러므로, 오버헤드 전극(125)의 온도는 정밀하게 제어될 수 있다. 그러므로 전극 온도를 제어하고 플라즈마 이온 에너지와 같은 다른 플라즈마 공정 파라미터들을 제어함으로서, 리액터는 증착 모드(표면들이 중합체를 축적시키기에 충분히 냉각됨) 또는 소모(depletion) 모드(플라즈마 이온들이 표면들에서 중합체를 떨어지게 함으로써 중합체 축적이 회피되도록 표면들이 충분히 가열됨) 중 하나로 동작될 수 있다. 하나의 바람직한 모드는 소모 모드인데, 왜냐하면 소모 모드는 입자 오염물질을 회피하도록 하기 때문이다.
플라즈마 공정의 광학 모니터링 :
도 8의 리액터는 챔버 내부측 표면 상의 중합체 증착이 일어나지 않도록 동작될 수 있기 때문에, 광학 윈도우(680)가 오버헤드 전극(125)의 하부 표면에 제공될 수 있다. 광학 섬유 또는 광 파이프(685)와 같은 광 채널은 일단부에서 광학 윈도우(680)에 연결되고, 공동 내부 동축 도체(140)를 통해 통과한다. 광 파이프(685)는 외측 단부에서 종래의 광 검출기(687)에 연결된다.
이러한 특성에 의해, 종료 포인트 검출 및 다른 측정들은 그러한 광 검출기를 이용하여 수행될 수 있다. 특정하게는, 검출기(687)는 공지된 광학 기술을 사용하여, 워크피스 또는 반도체 웨이퍼(110) 상에 선택된 층의 두께를 선택한다. 예를 들어, 에칭 공정 동안에, 에칭되는 재료의 두께가 검출기(687)에 의해 측정되는 바와 같이, 소정의 두께로 감소한 후에, 공정은 중지될 수 있다.
오염물질 방지:
챔버 내부측 표면들은 중합체 증착이 일어나지 않는 상태로 유지될 수 있기 때문에, 상기 표면들에 플라즈마에 노출된 채 유지된다. 특정하게는, 알루미늄 오버헤드 전극(125)의 하부 표면은 플라즈마로부터의 침범을 계속 받게 되고, 이에 의해 알루미늄 종들이 플라즈마에 기여하기 쉽게 되면서 워크피스의 오염물질을 유발시키고 공정 장애가 발생될 수 있다.
그러한 문제점을 방지하기 위해, 양극처리될 수 있는, 오버헤드 전극(125)의 하부 표면은 실리콘 또는 실리콘 카바이드와 같은 공정-호환가능 재료로 코팅된다. 그러므로, 도 10 및 도 11에 도시된 바와 같이, 박막 실리콘 카바이드 막(690)은 알루미늄 오버헤드 전극(125)의 하부 양극처리 표면을 커버한다. 박막 실리콘 또는 실리콘 카바이드 막(690)은 플라즈마로 하여금 전극(125)의 알루미늄 재료를 침범하지 못하도록 한다. 플라즈마가 실리콘-함유 막(690)으로부터 재료를 제거하는 정도까지는, 플라즈마로 유입된 종들은 공정을 오염시킬 수 없는데, 왜냐하면 그러한 종들(실리콘 및 탄소)은 이미 플라즈마 및/또는 워크피스에 존재함으로써 공정과 호환가능하기 때문이다. 실리콘은, 실리콘 옥사이드가 에칭되고 있는 플라즈마에 존재한다. 탄소가 플라즈마 내에 존재하는데, 이때 플루오르화 탄소 가스들이 공정 에칭 가스들로써 이용된다.
대체 실시예에서, 오버헤드 전극은 양극처리되지 않고, 실리콘 카바이드 막(690)은 전극(125)의 순수 알루미늄 표면에 대해 형성된다.
동축 스터브의 동조 공간의 증가:
플라즈마는 더 큰 저항성 부하 임피던스 변이 및 더 작은 리액턴스 부하 임피던스 변이를 나타낼 수 있다. 특정하게, 플라즈마의 저항성 부하 임피던스는 (60:1 대신에)100:1 정도로 가변될 수 있고, 리액턴스 부하 임피던스는 (35% 대신에)단지 20%만 가변 될 수 있다. 이러한 차이로 인해, 동축 동조 스터브는 65옴(즉, RF 발생기의 50옴 출력 임피던스 이상의 값)에서 30옴(즉, 발생기의 출력 임피던스 이하의 값)으로 감소된다. 이러한 감소로 인하여, 매우 작은 효율성의 절충을 가지면서도, 동조 공간의 비례 증가를 달성하게 된다. 특정하게는, 동조 스터 브에 의해 정합될 수 있는 플라즈마 저항성 부하 임피던스의 범위는 동축 스터브 특성 임피던스로 인해, 60:1에서 100:1로 증가된다. 동축 스터브의 특성 임피던스는 스터브의 내부 및 외부 도체들의 반경에 의해 결정된다.
결과:
그러므로, 리액터는 동작 상태의 변화 및/또는 제조 허용오차 변이에 대해 훨씬 덜 민감해진다. 동작 상태에 대해 덜 민감한 것 -- 즉, 임피던스 정합을 위한 광범위한 동조 또는 주파수 공간 -- 을 포함하는 이러한 큰 장점들은, 가장 바람직한 처리 플라즈마 이온 밀도들에서의 플라즈마의 음의 커패시턴스의 크기에 정합되는 또는 거의 정합되는 커패시턴스, 플라즈마-전극 공진 주파수에 정합하는 또는 거의 정합하는 VHF 전원 주파수의 사용을 포함하여, 결합하여 함께 동작하는 다수의 리액터 특성들; VHF 전원 주파수, 플라즈마-전극 공진 주파수 및 스터브 공진 주파수의 좀 떠 긴밀한 관계; 플라즈마-전극 공진 주파수, 스터브 공진 주파수 및 전원 주파수 사이의 오프셋; 전원을 오버헤드 전극에 결합시키기 위한 공진 스터브 정합 사용, 예를 들어, 이상적 정합 위치에서 약간 오프셋된 전원 입력 탭(160)의 이용에 기여한다고 인식된다.
플라즈마, 스터브 및 전원 주파수들의 오프셋은 실질적으로 시스템을 이조시킴으로써 시스템의 동조 공간을 넓게 한다고 인식된다. 스터브 정합을 이용함으로서, 더 넓은 주파수 범위에 대한 정합에 의해 동조 공간이 넓어진다. 스터브 탭 포인트(160)를 이상적 정합 포인트에서 오프셋시킴으로써 시스템이 동조 공간을 넓게 하는 것을 더 최적화시키는데, 왜냐하면 이러한 특성은 전달된 전력이 감소하거 나 감산될 때 전류를 추가시키는 역할이고, 그리하여 전달된 전력이 증가될 수 있다. 더 높은 (VHF) 전원 주파수는 시스템 Q의 감소를 제공하고, 전원 주파수의 증가에 비례하여 동조 공간을 증가시킨다. 좀더 중요하게는, 이러한 선택으로 인해, 전극-플라즈마 공진은 에칭 공정에 적절한 플라즈마 밀도에서의 전원 주파수에 정합되도록 한다.
리액터는 광범위한 공정 윈도우에 대한 공정 상태 변화에 실질적으로 영향을 받지 않기 때문에, 리액터는 (a)더 넓은 범위의 공정 상태 변이에 대한 작동 가능, (b) 광범위한 범위의 응용예에 대한 유틸리티(상이한 공정 방식), 및 (c) 실질적으로 더 넓은 범위의 제조 허용 오차에 영향을 받지 않아서 리액터-리액터 간의 특성이 균일함과 같은 세 개의 장점들을 제공한다.
결과적으로, 우수한 결과가 유지된다. 특정하게, 시스템의 Q는 더 우수한 정도의 특성 균일성 및 동일한 모델의 상이한 리액터들 사이의 성능을 유지시키고 공정 윈도우를 개선시키기 위해 몇몇 경우에 있어 약 5로 최소화된다. 약 1012 ions/cc의 고 플라즈마 밀도는 단지 2kW의 전원으로 조합하여 달성된다. 시스템은 10W 정도로 낮은 전원 레벨을 갖고 전이가 없으며 10mT 내지 200mT의 압력 범위로 플라즈마를 유지시켰다. VHF 플라즈마 및 전원 주파수들 근처에서 공진하는 단락된 임피던스 정합 동축 스터브는 기생 VHF 플라즈마 쉬스 고조파들을 단락시키는 한편, 95%를 초과하는 전력 효율을 실현한다. 시스템은 3:1 미만의 전원 SWR을 유지하면서, 60:1의 플라즈마 저항성 부하 가변 및 1.3에서 .75로의 리액턴스 부하 가변을 수용했다.
이는 부하 가변을 수용하는 용량의 증가(그에 따른 확장된 공정 윈도우)는 (a) 전극(125)과 전도성 지지대 사이의 유전체 값들의 적절한 선택 뿐만 아니라 VHF 전원 주파수의 적절한 선택에 의해 위에서 설명한 바로써 달성되는 바와 같이, 설계 동작 상태하에서 전극과 플라즈마 커패시턴스들의 정합; 및 (b) 최적의 탭 위치를 갖도록 특별히 구성된 동축 스터브(이러한 스터브에 의해 탭 전류는 낮은 부하 상태하에서 스터브 전류에 가산되고 높은 부하 상태하에서 스터브 전류에 감산됨)에 상당부분 기인한다. 이러한 매우 높은 전력 효율은 상당부분 동축 스터브에 의해 제공된 임피던스 변환에 의한 것이고, 이러한 임피던스 변환으로 인해 발생기 연결부 뿐만 아니라 전극 연결부에서의 반사 손실을 초소화시키는데 이는 저항성 손실 우세 지역에서의 동축 스터브의 저전류 및 고전압, 및 용량성 손실이 우세한 곳에서의 전극/플라즈마에서의 고전유 저전압를 실현하기 위한 최적의 탭 배치를 따라 스터브 공진 주파수와 전극-플라즈마 공진 주파수 사이의 정합을 달성하기 때문이다. 또한, 이러한 모든 장점들은 기존의 임피던스 정합 장치의 필요성을 최소화시키거나 제거하면서 제공된다.
실리콘 및 금속 에칭에 적합한 리액터의 실시예들이 상세하게 설명되었지만, 리액터는 또한 상이한 이온 밀도들, 상이한 플라즈마 전원 레벨들, 상이한 챔버 압력들을 포함하여, 위에서 설명된 조건들과 다른 플라즈마 동작 상태의 선택에 대해서도 유리하다. 이러한 변형들은 상이한 플라즈마 커패시턴스들을 생성할 것이고, 상이한 전극 커패시턴스들 및 상이한 전극-플라즈마 커패시턴스들을 필요로 하므로 위에서 설명한 것과는 다른 플라즈마 전원 주파수들 및 스터브 공진 주파수들을 필요로 한다. 또한, 상이한 웨이퍼 직경들, 및 화학적 기상 증착과 같은 상이한 플라즈마 공정들은 정원과 챔버 압력에 대한 상이한 동작 양식을 가질 수 있다. 또한, 이러한 다양한 응용예들 하에서, 리액터는 위에서 설명한 실시예들에서와 같이, 일반적으로 공정 윈도우 및 안정도를 개선시킬 것이라는 것이 인식된다.
소형의 VHF 고정 동조 엘리먼트 :
도 1 및 도 8의 동축 동조 스터브는 도 1 내지 도 7을 참조하여 설명된 바와 같이, 큰 동조 공간에 대해 임피던스 정합을 제공하는 고정된 동조 엘리먼트이다. 그러나, 이러한 연장된 선형 설계로 인해서, 실제로 상기 엘리먼트의 푸트프린트는 플라즈마 리액터 챔버의 푸트프린트보다 더 크다. 이러한 특성이 편리하지 않은 이러한 상황에서는, 도 1 및 도 8의 동축 동조 스터브는 도 12, 13 및 14에서 도시된 바와 같은, 등가의 스트립 라인 회로로 대체된다. VHF 발생기의 50옴 동축 출력 커넥터의 중앙 도체는 스트립 라인 도체(700)에 연결되어 있고, VHF 발생기의 50옴 동축 출력 커넥터의 외부 도체는 리액터의 상부를 커버하는 하우징(715)의 금속 천정(710)에 연결된다. 전도성 천정(710)은, 스트립 라인 도체(700)가 면해 있는 접지면으로서의 기능을 한다. 스트립 라인 도체(700)는 접지면 천정(710)과 면하는 더 넓은 측에 의해 교차 섹션에서 일반적으로 타원형이다. 스트립 라인 도체의 특성 임피던스는 접지면 천정(710)으로부터의 공간에 의해 결정된다. 스트립 라인 도체(700)는 접지면 천정(710)으로부터 그 전체 길이를 따라 균일하게 이격될 수 있다.
예시적 실시예에서, 스트립 라인 도체는 높이 0.125 인치에 너비 2.5 인치였고, 0.5 인치 정도 접지면 천정(710) 아래쪽으로 변위된다. 접지면 천정(710)과 면하는 스트립 라인 도체(700)의 넓은(2.5인치) 측을 가짐으로써, 전류 흐름은 스트립 라인 도체(700)의 전체 2.5 인치 너비에 대해 더 분배되고, 이에 의해 대부분의 전류 흐름이 발생하는 외측 표면에서의 저항 손실이 감소된다. 스트립 라인 도체(700)의 길이는 도 1을 참조하여 위에서 자세하게 설명된 바와 같이, 동축 동조 스터브(135)의 길이에서와 동일한 방식으로 결정된다. 더욱이, 스트립 라인 도체(700)의 길이를 따른 RF 탭(160)의 배치는 도 1을 참조하여 설명된 바와 같이 동축 스터브(135)의 길이를 따라 RF 탭의 배치에서와 같은 동일한 방식으로 결정된다. 마지막으로, 오버헤드 전극(125)으로부터 가장 멀리 떨어진 도 12의 스트립 라인 도체(700)의 말단부는 접지에서 단락된, 도 1의 축 스터브 내부 도체(140)의 대응하는 말단부 같다. 스트립 라인 도체(700)의 경우에 있어서, 접지로의 단락은 도 13에 도시된 바와 같이, 멀리 떨어진 말단부(700a)에서, 접지면 천정(710)으로의 연결부에 의해 달성된다.
도 1 내지 도 8의 동축 동조 스터브(135)와 유사하게, 스트립 라인 도체(700)는 고정된 동조 엘리먼트의 공진 주파수의 1/4 파장과 동일한 길이를 갖고, 이러한 경우 스트립 라인 회로는 스트립 라인 동체(700) 및 접지면 천정을 포함한다. 그러므로, 스트립 라인 도체(700)의 길이 선택은 도 1 내지 도 7을 참조하여 설명된 동축 동조 스터브(135)의 길이 선택과 정확히 동일하다. 일실시예에서, 이러한 길이는 약 29 인치였다. 도 12의 RF 탭(160)은 스트립 라인 도체(700)의 길 이를 따라 특정 포인트에서 VHF 발생기를 스트립 라인 회로에 연결시키고, 이처럼 도 1의 RF 탭(160)은 동축 스터브(135)의 길이를 따라 대응하는 연결부를 형성시킨다. 도 12의 경우에 있어서, VHF 발생기 출력 동축 커넥터의 중앙 도체는 탭(160)에서 스트립 라인 도체에 연결되고, VHF 발생기 출력 동축 도체의 외부측 도체는 스트립 라인 도체로의 탭 연결부 위의 포인트에서 접지면 천정에 연결된다. 스트립 라인 도체(700)의 길이를 따른 도 12의 탭 포인트의 위치는 도 1을 참조하여 위에서 설명된 바와 같이, 동축 스터브의 길이를 따라 도 1의 탭의 위치에서와 동일한 방식으로 결정된다. 이러한 특성에 의해, 스트립 라인 도체(700)와 접지면 천정을 포함하는 스트립 라인 회로는, 탭 포인트(160)를 이론적 최적 포인트에서 약간 오프셋시킴으로써 부하 저항의 100:1 정도의 큰 가변을 임피던스 정합 공간이 수용할 수 있는 바와 같은 도 1을 참조하여 설명된 특성을 포함하여, 도 1의 동축 동조 스터브(135)와 동일함 방식으로 수행한다. 도 1을 참조하여 설명된 바와 같이, 탭(160)의 이론상의 최적 위치는 동조 스터브(135)의 길이를 따른(또는, 그 등가로써 도 12의 스트립 라인 도체(700)의 길이를 따른) 포인트에 존재하고, 이러한 포인트에서는 정상파 전압과 전류 상의 비율은 VHF 발생기의 출력 임피던스와 동일하건, 그 사이의 연결된 동축 테이블의 특성 임피던스와 동일한다. 도 1을 참조하여 설명된 것은, 임피던스 정합 공간은 이론상의 최적 위치에서 약 5% 정도 탭(160)을 오프셋시킴으로써 상당히 확장된다. 그러므로, 도 12의 스트립 라인 도체 회로는 도 1의 동축 동조 스터브의 모든 장점 및 기능을 제공하지만, 소형이라는 장점을 추가로 제공한다.
도 8의 동축 스터브의 내부측 도체(140)와 유사하게, 도 12의 스트립 라인 도체(700)는 전극(125)에 연결된 유용 라인들을 수용하도록 동공이고, 원뿔형 하우징(190)의 상부 표면(290a)에 연결된다. 스트립 라인 도체(700)의 (도 1 및 도 8의 동축 동조 스터브에 대한)의 장점은, 스트립 라인 도체(700)는 하우징(715) 내에서 원형 방식으로 확장될 수 있고 그리하여 필요한 길이는 리액터 챔버의 "푸트프린트" 이상으로 확장할 필요 없이 실현될 수 있다는 점이다.
스트립 라인 도체의 길이는, 도 1을 참조하여 위에서 설명한 바와 같이, 동축 동조 스터브의 길이가 결정되는 방식과 동일한 방식으로 결정된다. 스트립 라인 도체(700)의 임피던스는 자신의 변위를 접지면 천정(710)으로부터 조정함으로써 결정된다. 위에서 설명한 바와 같이, 이러한 임피던스는 약 30옴 또는 VHF 발생기 출력 임피던스보다는 적게 가장 최선 선택된다. 스트립 라인 도체(700)의 길이를 따른 VHF 발생기(150)로부터의 탭(160)의 위치는, 도 1을 참조하여 위에서 설명된 바와 같은 동축 동조 스터브 상에서의 RF 탭(160)의 위치에서와 동일한 방식으로 결정된다. 접지면 천정(710)과 조합된 스트립 라인 도체(700)는 도 1 또는 도 8의 동축 동조 스터브와 동일한 기능을 수행하고, 도 1을 참조하여 위에서 설명된 바와 같은 동일한 성능의 장점을 제공한다.
도 13의 상부도에서는 스트립 라인 도체(700)가 거의 사각 경로(라운드 코너를 가짐)를 따라 권선되는 실시예를 도시되고, 도 14에서는 스트립 라인 도체(700)가 원형으로 권선되는 다른 실시예가 도시된다.
동조 엘리먼트를 통해 제공되는 유틸리티:
도 8 및 도 12를 참조하여 위에서 설명된 바와 같이, 도 8의 동축 스터브 내부측 도체(140) 및 도 12의 스트립 라인 도체(700)는 다양한 유틸리티들을 오버헤드 전극으로 운반하는 라인들을 수용하도록 동공이다. 그러므로, 도 8 및 도 12에서 도시된 바와 같이, 외부측 가스 공급 라인(325)은 외부측 가스 흐름 제어기(800)에 연결되고, 내부측 가스 공급 라인(345)은 내부측 가스 흐름 제어기(810)에 연결되며, 광섬유 또는 광 파이프(685)는 광 검출기(687)에 연결되고, 가열/냉각 라인(675)은 가열/냉각 소스 제어기(830)에 연결된다.
고정된 동조 엘리먼트(135)는 동축 동조 스터브(도 1 및 도 8의 실시예들) 또는 스트립 라인 회로(도 12 및 도 14의 실시예들)일 수 있다. 안테나 설계자들은 RF 발생기의 50옴 특성 출력 임피던스와 전극/플라즈마 결합의 임피던스 사이의 임피던스 정합을 제공함에 있어 상기 고정된 동조 엘리먼트의 두 실시예들에 의해 수행되는 동일한 기능을 인식할 것이다. 고정된 동조 엘리먼트(또는, 그 등가로서 고정된 임피던스 정합 엘리먼트)의 두가지 실시예들은 중앙 도체(도 12의 스트립 라인 도체 또는 도 8의 내부측 동축 도체) 및 접지 도체(도 12의 접지면 천정 또는 도 8의 접지된 외부측 동축 도체의 사용을 포함하여, 구조상 공통된 특성을 갖는다. 두가지 경우들에 있어서, 임피던스 정합 엘리먼트의 특성 임피던스는 두 개의 도체들 사이의 공간에 의해 결정되는 반면, 임피던스 정합 엘리먼트로의 입력 임피던스는 RF 발생기로의 연결부의 중앙 도체를 따른 위치에 의해 결정된다. 또한, 중앙 도체는 공동이므로, 가스 공급 라인들 및 열-전도성 유동체 공급 라인들을 위한 RF-차폐 콘딧으로서의 역할을 한다. 또한, 가장 중요한 공통 특성은, 임피던스 정합 엘리먼트의 두가지 실시예들은 물리적으로 구조상 고정되므로, 이동 부품 또는 인공 지능 제어기가 필요하지 않게 되고 이는 상당한 장점이 된다. 다른 관련된 장점은 이미 설명되었다. 그러므로, 두가지 실시예들의 고정된 임피던스 정합 엘리먼트는 일반적으로, 공동 중앙 도체를 갖는 고정된 두개-도체의 임피던스 정합 엘리먼트로써 언급될 수 있다.
RF 손실 불변, 아킹 억압 및 낮은 오염물질을 갖는 코팅된 천정 전극 :
천정 전극 내의 가스 분배 통로들의 아킹 문제점은, (a) 양호한 가스 흐름 또는 전도도를 갖는 경향을 갖지만, 전극의 하부 표면에서의 최대 강도에서 전극의 상부 근처에서 최소 강도로 전계 강도가 감소하는 그러한 가스 통로들의 축 길이를 따른 전계 분배를 생성하려는 경향이 있는 가스 통로 형태; (b) 리액터 챔버의 가스 공급 압력과 진공 레벨 사이의 거의 전체 압력차를 나타내는 압력 경감을 갖도록 충분히 손실이 많은, 앞서 언급한 가스 통로들을 공급하는 오리피스 - 상기 오리피스는 가스 통로들의 전계 분배에서 최소 전계 강도의 영역 내부 또는 위쪽에 위치됨 - 의 특성들을 결합함으로써 해결된다. 그 결과로써, 실질적으로 모든 압력 강하가 최소 전계 강도 영역 내에서 발생하는 반면, 모든 전계 강하는 거의 제로의 압력 경감 영역 내에서 발생한다. 결과적으로, 천정 전극의 축 길이를 따른 모든 위치들에서의 가스 압력과 전계 강도의 결합은 일반적으로 아킹이 발생할 수 있는 범위 외부에 있다. 이러한 범위는, 아래에서 좀더 자세히 설명되는 바와 같이, 파센 곡선에 의해 한정된다. 앞서 설명한 결과들을 달성하기 위해 가스 통로들의 횡단면 형태, 오리피스 직경 및 오리피스의 위치를 선택함으로써 아래에서 자 세히 설명될 것이다.
천정 전극 내부측 표면 상의 보호 반도체 코팅의 RF 손실의 광범위한 변이의 문제점은, 리액터에 따른 반도체 코팅의 전기적 특성을 선택함으로써 해결된다. 반도체 코팅 또는 층에 의한 RF 흡수의 광범위한 변이는, 전극 온도, 전극 두께 및 분순물 농도에 있어 상대적으로 작은 편위(excursion)에 의해 기인한다. 이러한 문제점은, 본원 발명의 리액터에서, RF 흡수가 재료의 손실 기울기의 변화에 대해 적어도 거의 불변하는 범위로 반도체 층의 손실 기울기를 두는 레벨로 반도체 층의 도펀트 농도를 선택함으로써 해결된다. (손실 기울기는 물질의 복소 유전상수의 실수부와 허수부 사이의 비율이다.) 손실 기울기는 도펀트 또는 진성 불순물 농도에 의해 결정되고 온도에 가변한다. 더욱이, 손실 기울기의 변이를 갖는 재료의 RF 흡수의 민감도 또는 변이는 층의 두께에 의해 영향을 받는다. 그 결과로써, 손실 기울기의 변화에 대한 RF 전력 흡수의 최소 변화 영역에 있도록 반도체 코팅 또는 층의 손실 기울기를 선택함으로써 온도, 층 두께 및 층 성분(예를 들어, 분순물 농도) 변화에 거의 영향을 받지 않는 RF 전력 흡수가 일어나게 된다.
본원의 천정 전극의 일실시예는, 반도체 층이 하나의 단층이고 그 내부에 가스 주입 통로들이 형성되며 금속 전극 베이스의 하부 표면에 직접 본딩되는 상당히 단순화된 구조이다. 최적 본딩을 달성하기 위한 본딩층 두께는 상당히 커서, 처리 챔버로 노출되는 상대적으로 대면적의 본딩 물질를 남기게 되어, 오염물질을 유발시킨다. 이러한 문제점은, 본딩 층은 별개의 이격된 영역들로 분할하고 각각의 영역을 그 위에 놓이는 금속 전극 베이스로부터 하향하여 또는 (대안으로써) 그 아래 에 놓이는 반도체 층으로부터 상향하여 연장하는 립으로 둘러쌈으로써 본딩층의 두께를 감소시킴 없이 해결된다. 립은 본딩 층의 노출된 두께를 적어도 부분적으로 커버하는데, 이는 챔버 내의 처리 가스들의 오염물질 감소 또는 방지를 위해서다. 다른 실시예는, 매우 최소인 배기 특성을 갖는 극도로 고등급의 접착제 물질을 사용하는 것이다.
도 15를 참조하면, 도 15는 도 8의 리액터와 유사한 플라즈마 리액터를 도시하지만, 도 8의 천정 전극(125)는 도 15에서는 천정 전극(900)으로 대체된다. 천정 전극(900)은 도 15에서 횡단면 측으로 도시되고, 웨이퍼 지지대 페데스탈(105)고 면하는 하부 표면을 갖는 금속(예를 들어, 알루미늄) 베이스(901), 및 금속 베이스(901)의 하부 표면에 본딩되는 보호층(또는 샤워헤드)(902)로 구성된다. 보호층은 공정-호환가능 재료의 화학적 기상 증착에 의해 벌크 세라믹 부재로써 형성될 수 있다. 그러한 공정-호환가능 재료는 예를 들어, 실리콘-카바이드와 같은 세라믹일 수 있고, 이러한 재료는 본 명세서의 아래에서 설명되는 특정 특성에 따라 재료의 비전도성을 갖도록 불순물로 도핑될 수 있다. 천정 전극(900)은 또한 금속 베이스(901)의 상부 표면 상에 놓이는 가열판(903) 및 가열판(903)의 상부 표면 상에 놓이는 냉각판(904)을 포함한다. 도 15에서 대략 도시된 바와 같이, 가열액 공급 라인(675a) 및 리턴 라인(675b)은 가열 판(903) 내에 순환을 위한 가열액를 제공하고, 리턴 라인(675b)은 냉각판(904) 내에 순환을 위한 냉각액를 공급한다. 열전쌍(905)은 공정 제어기(906)에 베이스(901)의 온도 측정을 제공한다.
도 16은 홀들(907)의 어레이 배치를 도시하면서 금속성 베이스(901)의 평면 도를 도시하는데, 홀들 각각(907)은 베이스(901)를 통해 축상으로 연장한다. 도 17은 샤워헤드를 통해 축연장하는 아치형 슬롯들(908)의 쌍들의 어레이 배치를 도시하면서 반도체 보호층 또는 샤워헤드(902)의 평면도를 도시한다. 도 18의 확대도에서 도시된 바와 같이, 아치형 슬롯들(908)의 각각의 쌍은 대향하는 "C" 형상들(908a, 908b)으로 존재할 수 있고, 원형 환상의 거의 반-원형 섹션들을 형성하는데, 다른 적합한 형상들이 그 대신 사용될 수도 있다.
도 19의 확대도를 참조하면, 금속 전극 베이스(901)는 두개의 별개의 피스들, 즉, 다수의 하향 연장하는 원통형 포스트들(923)을 갖는 상부 플레이트(901a), 및 그 내부에서 포스트들(923)이 환형 가스 통로경로(914)를 형성하도록 구성되는 다수의 원통형 홀들(922)을 갖는 하부 플레이트(901b)로 형성된다. 다수의 가스 분배 매니폴드들(909)(그 중 하나는 도 19의 확대도에 도시됨)는 베이스(901)의 상부엣의 보이드들로서 형성된다. 홀들(907)은 매니폴드들(909)의 각각의 하나로부터 상부 플레이트(901a)를 완전히 통과하고 하부 플레이트(901b)를 부분적으로 통과하여 연장한다. 플러그(910)는 상부 및 하부 플레이트들(901a, 901b)을 접촉시키기 위해 각각의 홀(907) 내에 압착-피팅된다. 다수의 압착된 플러그들(910)은 상부 및 하부 플레이트들(901a, 901b)을 함께 유지시킨다. 각각의 압착된 플러그(910)는 매니폴드(909)에 개방된 하나의 중앙 축 개구부(911), 및 상부 베이스 플레이트(901a) 내에서 축 개구부(911)로부터 방사상 보이드(913)로 연장하는 네 개의 방사상 오리피스들(912)을 구비한다. 보이드(913)는 베이스(901) 내에서 환형 통로들(914) 내부로 개방되고, 베이스(901)의 하부 표면으로 연장한다. 환형 통로 들(914)은 일반적으로 반도체 보호층(902) 내에서 아치형 슬롯들(908)에 정렬된다. 각각의 수직 통로(914)는 보호층(902) 내에서 대향하는 "C" 형상의 슬롯들(908)의 대응 쌍의 대칭 축과 동축인 원형(환형) 아치형 슬롯이다. 가스는 매니폴드(909)로부터 축상의 플러그 개구부(911)를 통해 하향하고 오리피스들(912)을 통해 방사상으로 외부를 향하여 흐르고, 이에 의해 원형 수직 통로들(914)을 통해 하향하고 베이스(901)와 보호층(902) 사이의 방사상 슬롯들(915)을 통해 방사상으로 향하고 아치형 슬롯들(908)을 통해 챔버 내로 흐르게 된다.
슬롯 통로들(908, 914)은 슬롯의 방위각 길이에 비례하는 높은 가스 전도도를 제공하지만, 슬롯(908)과 환형(914)의 너비의 역함수인 비율에서 슬롯 내의 전계를 강하시킨다. 그 결과로써, 통로들(908, 914)을 통한 압력 차, 즉 보호층(902)의 하부 표면으로부터 방사상 보이드(913)까지의 압력차가 거의 없게 된다. 동시에, 슬롯들(908, 914)은 충분히 좁아서(예를 들어, 약 .012인치), 전계는 보호층(902)의 하부 표면과 방사상 보이드(913) 사이의 간격 내에서 피크치 크기의 적어도 거의 절반(바람직하게는 그 이상)이 강하된다.
오리피스들(912)은 원통형이고 충분히 작은 직경(예를 들어, 약 .010인치) 반경을 가지고 있어서, 가스 매니폴드(909)의 고압력과 천정 전극(900) 아래의 챔버의 진공 압력 사이의 거의 모든 압력 차는 각각의 오리피스(912) 길이에 대해 강하한다. 예를 들어, 압력은 각각의 오리피스(912)의 길이에 대해 10배만큼 경감할 수 있다. 아래에서 좀 더 자세하게 설명되는 바와 같이, 결과로써, 가장 큰 전압 강하(전계)는 가장 낮은 압력 영역(즉, 슬롯들(908, 914)의 축 길이를 따름)에서 발생하는 반면, 전체 압력은 최소 전계 영역(즉, 방사상 오리피스들(912))에서 발생한다. 이러한 특성은 아래에서 설명되는 바와 같이, 천정 전극(900)으로 하여금 차킹에 거의 영향을 받지 않도록 하게 한다.
도 20을 참조하면, 베이스(901)와 보호층(902) 사이의 다수의 스페이서들 또는 포스트들(916)은 갭(917)의 너비를 고정시키고, 이때 상기 갭 내에서는 보호층(902)을 베이스(901)에 고정시키도록 본딩 접착제(918)가 제공된다. 갭(917)의 너비는 최적의 본딩을 보장하기에 충분하다. 이러한 너비는 상대적으로 크므로, 방사상 통로들(915) 내의 처리 가스들로의 본딩 접착 층(918)의 상당한 영역을 노출시키게 되고 이로써 본딩 접착층(918)로부터 배기됨으로써 오염물질을 촉진시킨다. 이러한 문제는, 갭(917) 너비의 임의의 감소 없이도 해결된다. 대신, 접착 층(918)은 도 19에 도시된 바와같이 다수의 이격된 영역들 또는 섬들(918a, 918b, 918c, 918d 등)로 분할되고, 각각의 섬은 그렇지 않았다면 각각의 본딩 접착 섬(918a, 918b, 918c 등)의 노출된 수직 면의 거의 절반을 커버했을 축상으로 연장하는 립(920)에 의해 둘러싸인다. 각각의 립(920)은 각각의 본딩 접착층 영역의 수직 면(921) 전체를 커버하거나, 방사상 통로(915)에서 처리 가스들에 노출된 작은 부분만을 남겨둔다. 립(920)은 갭(917)의 너비보다 작게 방사상 통로(915)의 너비를 감소시킨다. 처리 가스들에 노출된 본딩 접착 섬들(918a, 918b, 918c)의 표면 영역을 감소(또는 제거)시킴으로써, 각각의 립(920)은 접착 본딩 물질로부터의 배기로부터 챔버 내 오염물질을 감소(또는 제거)한다. 각각의 립(920)은 금속 베이스(901)로부터 하향 연장 즉, 베이스(901)의 플러그(923)로부터 하향 연장(그로 인 해 플러그(923)과 일체식 형성됨)되거나, 보호층(902)으로부터 상향 연장한다. 도 20의 도면에서, 립(920)은 플러그(923)로부터 하향 연장하고 플러그(923)와 일체식으로 형성된다.
접착 본딩층(918)으로부터의 오염물질을 추가 감소시키기 위해, 초 고-등급의 본딩 접착 물질이 층(918)에 이용되고, 이는 최소 배기 경향만은 갖는다. 접착층(918)에 대한 바람직한 본딩 물질은 다우 코닝사(Dow Corning Corporation)에 의해 제조된 다우 코팅 공간 등급 저 휘발성 접착제 93-500이다.
도 21에서는, 냉각액이 순환하는 냉각제 유동체 자켓(927)을 도시하면서, 냉각판(904)의 평면도를 도시한다. 도 22에서는, 가열액이 순환하는 가열액 자켓(928)을 도시하면서, 가열판(903)의 평면도를 도시한다.
도 23, 24 및 25는, 도 15 내지 도 19의 천정 전극이 천정 전극 내에서의 아킹이 방지되도록 선택될 수 있는, 가스 통로들 및 오리피스들의 형상을 도시하는 그래프이다. 도 23은 챔버 내부로 천정 전극에 의해 주입된 처리 가스에 대한 파센 곡선을 도시하는데, 이때 수직 축은 전계에 해당하고 수평축은 가스 압력에 해당한다. 도 23의 곡선 위쪽의 영역은 전계 강도-압력 공간내의 위치들을 나타내고, 이러한 위치들에서 가스의 아킹 또는 전기적 방전이 발생한다. 도 23의 곡선 아래쪽에서는, 아킹이 발생하는 않고, 천정 전극의 축(높이)를 따른 모든 포인트들에서 도 23의 곡선 아래쪽에 압력 및 전계 강도의 결합을 유지하는 것이 하나의 목적이다. 도 24는 천장 전극에서의 축상 가스 통로를 따른 높이의 함수로서 가스 압력을 도시한다. 도 24의 점선은 작은 원통형상의 가스 통로에 해당하고, 도 24 의 실선의 거의 수형 섹션은 도 15 내지 도 19의 천정 전극에서의 슬롯 가스 통로들(908, 914)에 해당한다. 도 23의 실선의 거의 수직 부분은 압착-피팅 플러그(910)의 작은 방사상 홀들(912) 내의 압력 분배에 해당한다. 도 25는 슬롯 가스 분배 통로들(908, 914) 내의 전계 분배를 높이 함수로써 도시한다. 도 25에서 ".020" 및 ".040"의 곡선들은 .020 및 .040 인치의 슬롯 너비들(갭들)에 각각 해당한다. 도 19의 아치형 슬롯 가스 통로들(908, 914)에 적용된 바와 같이, "너비"라는 용어는 슬롯의 더 작은 치수를 의미하고, "길이"라는 용어는 슬롯의 더 큰 치수를 의미한다. 일반적으로, 도 19에서, 길이는 너비보다 거의 대략 더 큰다.
도 25에서는, 슬롯 가스 통로들에서의 전계는 슬롯 너비에 의해 결정된 비율로 높이에 따라 감소한다. 그러므로, 상대적으로 좁은 슬롯 너비(예를 들어, 0.010 인치)가 가스 통로들(908, 914)의 결합된 길이를 따라 전계 강하를 증가시킴으로써, 가스 통로들(914)의 상부에서의 전계 강도를 최소화하도록 사용된다. 예를 들어, 가스 통로들(914)의 상부에서의 전계는 보호층(902)의 하부 표면에서의 전계의 1/10 (또는 그 미만)일 수 있다. 가스 통로들(908, 914)의 결합 길이를 따른 전계 강하는 베이스(901)와 보호층(902)의 결합 두께에 대해 대부분의(예를 들어, 80% 또는 거의 전부) 전계 강하이다. 방사상 오리피스들(912)의 축상 위치는, 도 25의 전기적 분배에서 최소 전계 영역에 위치되도록 충분히 높다. 방사상 오리피스들(912) 각각의 직경은 충분히 작아서(예를 들어, 0.010 인치)가스 통로 높이의 상부 근처에서 도 24의 곡선의 가파른 부분으로 표시된 각각의 방사상 오리피스(912)에 대해 높은 압력 경감을 달성하게 된다. 각각의 방사상 오리피스(912)의 길이를 따른 압력 경감은 베이스(901)와 보호층(902)의 결합 두께에 대해 대부분의 압력 경감(예를 들어, 80% 또는 거의 전부)이다. 각각의 오리피스(912)의 방사상 방향은 전극의 축 두께에 대한 전계에 대해 수직이고, 이에 의해 각각의 오리피스(912)의 길이를 따른 최소 전계 강하가 존재한다. 결과적으로, 방사상 오리피스들(912)(최대 높이에 위치함) 내에서, 압력은 매우 높고(각각의 오리피스(912)의 적어도 거의 방사상 내부측 부분), 전계는 슬롯 가스 통로들(908, 914)의 좁은 정도에 따라 최소(또는 제로)이다. 높은 압력과 낮은 전계 강도의 이러한 결합은 도 23의 위치(930)에 해당하고, 이는 아킹 임계값의 한참 이하 값이다. 축상의 아치형 슬롯들(908, 914) 내에서, 압력은 매우 낮고(도 24 곡선의 음영 부분), 전계는 하부면 근처에서 최대값(도 25의 곡선의 피크치)에 접근한다. 그러므로, 착상의 가스 통로들(908, 914)에서, 전계는 높지만 압력은 매우 낮고, 이는 도 23의 위치(931)에 해당하는 것으로써 아킹 임계값의 한참 아래값이다. 이러한 결과를 달성하기 위해, 가스 통로들(908, 914)의 슬롯 길이는 가스 통로들(908, 914)의 결합 길이를 따라 압력 경감을 전극(900)의 상부와 하부 사이의 압력 강하의 작은 부분들로 최소화시키도록 충분히 커야만 한다. 이러한 결과는, 위에서 설명한 바와 같이, 거의 모든 압력 강하가 각각의 방사상 오리피스(912)의 길이를 따라 나타난다. 슬롯 길이(예를 들어, 아킹 길이)는 약 0.070 인치일 수 있고, 슬롯 너비는 약 0.010일 수 있다.
다양하게 변화하는 공정 상태들(온도, 층 두께, 불순물 함유) 하에서의 보호층(902) 내의 RF 손실들이 어떻게 거의 불변하는지가 지금 설명된다. 도 26은 특 정 RF 전원 주파수(예를 들어, 162MHz 또는 210MHz의 플라즈마-전극 공진 주파수 또는 그 근처)에서 특정 온도(예를 들어, 90℃의 동작 온도)에서 실리콘 카바이스 물질의 손실 기울기 함수로써 보호층(902)의 실리콘 카바이드 버전에서의 RF 전력의 손실을 도시하는 그래프이다. 손실 기울기는 상기 물ㅈ리의 복소 유전 상수의 실수부와 허수부 성분 사이의 비율이고, 물질이 흡수하는 RF 전력이 양을 결정한다. 손실 기울기는 물질의 실수부 유전 상수와 RF 주파수의 곱으로 나눈 재료의 도전율이다. 손실 기울기는 반도체 재료의 도펀드 불순물의 농도를 변화시킴으로써 조정될 수 있다. 도 26에 도시된 실리콘 카바이드와 같은 반도체 물질의 특성은 다양한 도펀트 불순물 농도들(및 그에 따른 상이한 손실 기울기들)을 측정하고 및 그 각각에서 흡수된 RF 전력을 측정함으로써 쉽게 감소된다. 그러한 특정들은 McGraw-Hill의 King, Transmission Line Theory(전송 라인 이론)(1955, P8 및P285-286)에서 설명된 원리들에 따라 당업자에게 쉽게 인식된다. 도 27의 그래프에서는, 실리콘 카바이드 층(902)에서의 RF 손실 또는 흡수가 손실 기울기 1에서 피크치이고, 이러한 피크치에서 손실 기울기는 감소하거나 증가하여 떨어진다.
유전체 재료의 손실 기울기는 일반적으로 온도와 함께 증가하는데, 이에 의해 온도 변이는 RF 전력 흡수에 있어서의 변이를 유발시킬 것이다. 1의 손실 기울기에서의 피크 RF 손실 근처에서, RF 전력 손실은 손실 기울기의 단지 작은 변이만으로 급격하게 변화한다. 보호층(902)의 두께가 증가된다면, 손실 기울기의 변화에 대한 RF 손실의 가변은 좀더 명확해진다. 이는, 더 두꺼운 층으로 표시되는 도 26의 점선 곡선에 대해 얇은 층의 특성을 나타내는 도 26의 직선 곡선의 비교로써 도시된다. 그러므로, 도 26의 곡선의 피크치(즉, 손실 기울기 1에서) 근처에서, RF 전력 손실은 온도 또는 층 두께에서의 작은 변화만으로도 크게 가변된다. 피크치로부터 떨어져(즉, 10을 초과하거나 0.1 미만의 손실 기울기 값), 점선 곡선은 직선 곡선과 거의 합쳐지고, 층 두께의 차이는 RF 전력 손실에서 거의 경미한 변화를 유발한다. 더욱이, RF 전력 손실은, 만일 손실 기울기가 10을 초과하거나 0.1 미만이면 손실 기울기의 너비 범위에 대해 거의 일정하고, 이에 의해 손실 기울기 값들의 이러한 범위들에 해당하는 온도 변이는 RF 전력 손실에 있어 거의 경미한 변화을 유발한다. 그러므로, 보호층(902)에서의 RF 전력 손실은 동작 온도에서 10 초과 또는 0.1 미만의 손실 기울기로 물질을 도핑시킴으로써, 온도, 층 두께 및 불순물 농도에 대해 거의 불변하게 된다. 일실시예에서, 손실 기울기는 비용 절감을 위해 동작 온도에서 10을 초과하도록 선택되는데, 이는 손실 기울기 0.1 또는 그 이하는 상대적으로 고가인 거의 진성 반도체 재료를 필요로 하기 때문이다. 10 초과의 손실 기울기 값의 선택으로 인해, 반도체 층에서의 RF 손실의 측정가능한 변이가 거의 없거나 없는 약 10 이상의 손실 기울기 값들에 해당하는 온도 편위를 허용한다. 온도 제어 및 층 두께 및 도펀트 농도에 대한 설계 허용오차는 매우 넓고, 이는 10에서 매우 높은 값(도 26에서 "최적 범위"라고 씌여진 막대로 표시된 것과 같음)으로 연장하는 손실 기울기 값들의 범위에 해당한다. 이러한 선택은 손실 기울기에 대한 RF 전력 흡수(도 26의 수직축)의 유도체를 감소시킨다(거의 최소화시킴). 아래에서 알수 있는 바와 같이, 그러한 선택의 결과로 인해, 온도, 두께 및 불순물 농도에 대한 RF 전력 흡수의 유도체를 감소키게 된다(거의 최소화시킴).
도 27에서는, 상이한 손실 기울기 값들에 대한 온도 변화에 대한 저력 손실(수직축)이 어떻게 가변되는지를 도시한다. "tan Δδ 피크"라고 씌여진 도 28의 일곡선은 도 26에서의 1의 손실 기울기 값에 해당하고, 소정의 온도 범위에 대한 RF 전력 손실의 매운 큰 변화를 나타낸다. "tan Δδ 10"이라고 씌여진 도 27의 다른 곡선은 10을 초과하는 손실 기울기 값에 해당하고, 동일한 온도 범위에 대해 RF 전력 손실의 매우 작은 또는 제로의 변화를 나타낸다. 도 28은, 두께 범위에 대해 (보호층(902)의)층 두께 변화에 따라 전력 손실(수직축)이 어떻게 가변하는지를 도시한다. "tan Δδ 피크"라고 씌여진 도 28의 일곡선은 도 26에서의 1의 손실 기울기 값에 해당하고, 소정의 두께 범위에 대한 RF 전력 손실의 매운 큰 변화를 나타낸다. "tan Δδ 10"이라고 씌여진 도 28의 다른 곡선은 10을 초과하는 손실 기울기 값에 해당하고, 동일한 두께 범위에 대해 RF 전력 손실의 매우 작은 또는 제로의 변화를 나타낸다. 그러므로, 도 27 및 도 28은, 도 26에 도시된 특성의 영향을 도시하고, 리액터에 따른 손실 기울기의 정확한 선택은 온도 제어 및 층 두께에 대한 설계 윈도우를 넓게 한다.
그러므로, 일반적으로, 절차는 보호층(902)에 대한 반도체(또는 다른 공정-호환가능) 재료를 선택한 후, 재료의 손실 기울기 값들의 범위에 대해 선택된 RF 전원 주파수 특성에서 어떻게 RF가 손실될지 결정하는 것이다. 특정하게는, 재료의 최대 RF 손실에 해당하는 손실 기울기 값이 존재한다. 이는, 분석적으로 행해질 수 있거나, 상이한 불순물 농도들을 갖는 동일한 재료의 상이한 샘플들을 갖는 연속적 RF 손실 측정치들을 수행함으로써 이루어질 수 있다. 일단 피크 RF 손실의 손실 기울기값이 발견되면, 피크 손실에서의 손실 기울기 값보다 더큰(또는 더 작은) 크기의 손실 기울기가 선택된다. 그후, 재료는 재료 내의 선택된 손실 기울기 값을 생산한 후 보호층(902)을 형성하는데 이용되는 불순물 농도층으로 도핑된다. 천정 전극의 온도는 피크 RF 흡수의 손실 기울기 값보다 더 큰(또는 더 작은) 크기의 손실 기울기 값을 유지하도록 제어된다. 실리콘 카바이드에서, 10 이상의 손실 기울기에 대한 이러한 온도 범위는 실온에서 250℃ 이상으로 연장한다.
도 20을 참조하여 위에서 설명한 바와 같이, 각각의 접착 본딩 섬(918)의 노출된 수직 면(921)을 커버하는 각각의 립(920)의 특성으로 인해, 접착 본딩층(918)의 두께는 본딩 접착 물질로부터의 오염물질의 증가 없이 최대 강도의 본딩을 위해 상당히 커질 수 있다. 그러나, 본딩 접착 층(918)의 두께에 관계없이, 평상시 이용 동안 및 특정하게는 세정 동안에 천정 전극(900)에 의한 온도 편위로 인해, 어셈블리(900)는 금속 베이스(901)와 보호층(902)의 열 팽창 계수들의 차이로부터 발생하는 전단력으로 인한 파괴이 유발될 수 있다. 그러한 온도 편위는 도 29의 그래프에서 940으로 표시된 곡선으로 도시된다. 접착 본딩 층(918)이 약 30℃ 온도로 경화된다고 가정하면, 천정 전극 온도는 플라즈마 공정 동안에 약 90℃로 상승된다. 이러한 온도 상승으로 인해, 금속 베이스(901)와 보호층(902)은 각각의 열 팽창 계수에 따라 상이한 비율로 팽창한다. 이는, 90℃에서의 동작 온도에 도달할 때까지, 천정 전극(900)의 온도와 함께 증가하는 전단력(도 29의 수직축)을 생성한다. 이러한 문제점은, 동작 온도 이상으로 예를 들어 약 150℃ 의 온도로 천정 전극(900)이 가열됨을 요구하면서 리액터의 베이킹 공정이 수행될 때, 더욱 악화된 다. 도 29에서 도시된 바와 같이, 천정 전극 양단의 전단력은, 온도가 동작 온도에서 베이킹 온도로 증가될때, 2배가 된다. 이러한 베이킹 온도 편위 동안에(전단력이 거의 두배일 때), 천정 전극(900)은 파괴되는 경향이 있다.
이러한 문제점은, 접착 본딩 층(918)을 상승된 온도에서 경화시키고, 바람직하게는 최대 온도 극값과 최소 온도 극값 사이의 약 절반값으로 천정 전극이 영향을 받도록 함으로써 해결된다. 도 29에 도시된 경우에 있어서, 본딩 접착 층은 90℃ 의 동작 온도 근처의 상승된 온도에서 경화된다. 도 29에서 945로 표시된 바와 같이, 중간 온도에서 접착 본딩층(918)을 경화시킴으로써, 천정 전극(900)에 의한 최대 전단력은 약 2배 정도 감소되는데, 즉 실온에서의 음의 전단력 또는 베이킹 온도에서의 양의 전단력은 둘다 거의 동일하지만 반대 방향이고, 더 낮은 온도에서 본딩 접착층이 경화되는 940으로 표시된 곡선의 경우에 생성되는 최대 전단력의 거의 절반값 이상의 값은 아니다.
그러한 중간 온도에서 접착 본딩층(918)을 경화시키는 방법은, 파괴의 문제점을 해결할 뿐만 아니라, 접착 본딩층(918)으로부터의 배기로 인한 오염물질을 방지하거나 감소시키면서 수행되는 리액터의 또다른 방식을 허용하게 한다. 상기 방식에 의해, 접착 본딩 층(918)이 경화되어 냉각된 이후, 그러나 천정 전극(900)이 플라즈마 공정에 사용되기 전에, 접착 본딩 층(918)으로부터의 모든 고-휘발성 생성물을 적어도 거의 끓이거나 증발시키기에 충분히 긴 시간동안에 가능한 최고 온도(예를 들어, 150℃의 베이킹 온도)로 상기 층이 가열된다. 이러한 증발 방식의 일실시예에서, 천정 전극은 생산 웨이퍼들의 플라즈마 공정에 이용되기 전에 적어 도 8시간 동안 150℃로 유지된다. 이러한 베이킹 공정은 천정 전극의 수명 동안 단 한번만 수행됨으로써, 접착층은 최대 응력을 단한번만 받게 되므로 천정 전극의 지속기간에 대해 접착층의 전단 응력 약화가 회피된다.
리액터의 한가지 특성은, 그 구조는 수시간의 웨이퍼 처리 동안에 축적된 모든 오염물질을 제거하는 주기적 습식 세정 절차를 따를 수 있게 된다는 것이다. 이러한 특성은 천정 전극의 지속기간을 향상시키고, 유익한 사용에 의한 제조 비용을 커버하는 것보다 좀더 충분한 지속 기간을 갖는다는 의미에서 상업적으로 실용적이다. 이러한 특성으로 인한 구조적 특성들은 다음과 같다:
습식 세정 처리에 적합한 상승된 온도에서 접착 본딩 층을 경화시킴으로써, 습식 세정 처리는 본딩 층에 대해 최소(또는 제로) 전단 응력 상태하에서 수행된다;
CF 중합체들을 제거하기 위한 용매에 침지되도록 따르게 되어, 알코올로 플러싱되어 110℃로 가열되는, 천정 전극을 구성하는 세라믹 실리콘 카바이드/알루미늄 재료들;
실리콘 또는 실리콘 디옥사이드를 제거하기 위해, 산(HF 및/또는 H2NO3와 같은) 산에 침지되거나 와이핑될 수 있는 벌크 세라믹 실리콘 카바이드 층;
이를 통해 고압의 가스 퍼징이 임의의 트랩 잔유물을 남기지 않고 모든 오염물질을 완전히 제거할 수 있도록, 천정 전극을 통해 완전히 연장하는 흐름-관통 비-재인입 가스 통로들;
습식 세정 공정은 플라즈마 리액터 챔버에서 천정 전극 및 정착물 상의 절연 물을 제거하는 것으로부터 시작하고, 상기 절연물은 이하에서 설명된다. 그후, 전체 천정 전극은 천정 전극 상에 축적되어온 모든 플루오르카본 중합체를 제거하기에 충분한 시간 동안에 실온에서 아세톤과 같은 용제에 침지된다. 다음 단계는, 천정 전극의 세라믹 실리콘-카바이드 층을 천정 전극 상에 축적되어온 모든 실리콘 디옥사이드 또는 실리콘 물질을 제거하기에 충분한 시간 동안에 HF 또는 질산 또는 두개의 혼합물과 같은 산에 노출시키는 것이다. 이는, 세라믹층을 와이핑시키거나천정 전극의 세라믹 부분만을 산에 침지시킴으로써 수행된다. 이러한 단계 역시 실온에서 수행된다. 천정 전극은 천정 전극의 일측에 압력을 가함으로써 실온에서 질소 또는 건조 공기로 퍼징되는 동시에, 천정 전극의 다른 측으로부터 가스들이 가스들이 새는 것을 허용한다. 마지막으로, 모든 수분이 천정 전극으로부터 증발될 때까지, 대략 접착 층 경화 온도(약, 100℃)로 가열함으로써 수붐이 천정 전극으로부터 제거된다. 이러한 마지막 단계는 접착 층에 대한 최소량의 전단 응력을 생성시키는데, 이는 온도(100℃)는 전단 응력이 거의 제로가 되는 접착 층 경화 온도이기 때문이다. 결과적으로, 습식 세정 공정은 접착 층 상의 무시할만한 양의 전단 응력만을 가하게 됨으로써, 지속 기간을 현저하게 떨어뜨리거나 접착층을 약화시키지 않으면서 천정 전극의 지속 기간 동안 다수 번 반복될 수 있다.
베이킹 방식 및/또는 습식 세정 방식을 수행하기 위한 정착물은 도 30에 도시된다. 밀봉된 챔버(950)는 측벽(954) 상에 지지된 링(952)을 구비하고, 상기 링(952)은 천정 전극(900)을 수용하고 고정시킬 수 있다. 가열 및 (선택적으로)냉각액 소스들(955,956)은 천정 전극(900)의 가열 및 냉각판들(903, 904)에 가열 및 냉 각액를 공급하여, 전극 온도를 약 150℃ 로 유지시키기 위한 온도 제어를 수행한다. 가스 공급원(958)은 펌프(959)에 가스를 공급하고, 상기 펌프는 천정 전극(900)의 상부에, 즉 천정 전극(900)의 가스 분배 매니폴드들(909) 내에 높은 가스 압력을 생성한다. 배기 포트(960)는 천정 전극(900)의 하부면을 통해 주입되는 가스들을 제거한다.
도 31은 일반적으로 평평한 오버헤드 전극을 갖는 20을 통해 도 16에 개시된 유형의 리액터에서 웨이퍼 지지대 페데스탈 상에 유지된 웨이퍼의 표면에 대한 반경 함수로서 플라즈마 이온 밀도를 도시한다. 이온 밀도(수직축)는 임의의 스케일 인자를 이용하여 도시되고, 산화 공정에서 애슁 속도(ash rate)로부터 알 수 있다. 도 31의 그래프는, 웨이퍼의 에지에서의 플라즈마 이온 밀도가 웨이퍼 중앙에서의 이온 밀도의 55% 이하라는 것을 나타낸다.
도 32(에지에서보다 중앙에서 더 높이 가파른 형상을 가짐) 또는 도 33(에지에서보아 중앙에서 더 높이 곡선 형상을 가짐)가스 분배 오버헤드 전원 천정 전극에 도시된 유형의 가스 분배 오버헤드 전원 천정 전극은 약 2배만큼 이온 분배 비-균일성을 감소시킬 수 있다(균일성 향상). 도 34의 그래프에서는, 도 32의 계단 형태의 샤워헤드 전극에 의해 생성되는 방사상 위치 함수로서 웨이퍼 표면에서의 에칭율을 도시한다. 도 34에서의 에칭율은 전력 밀도 분배로부터 알 수 있었다. 유사한 에칭율 분배는 도 33의 곡선형 샤워헤드 전극에 의해 생성된다. 도 32 또는 도 33의 곡선형 또는 계단형 샤워헤드 전극들에서, 에칭율의 중앙-에지로의 변이는 20%로 감소되고, 이는 평평한 전극에 의해 생성된 변이의 절반 미만(55%)이 다. 이는, 도 32 및 도 33의 계단형 또는 곡선형 중앙에서 높은 샤워헤드 전극들은 중앙에서보다 웨이퍼 에지에서 더 작은 웨이퍼-천정 갭의 플라즈말르 한정하기 때문이고 이에 의해 에지 근처에서의 플라즈마 이온 밀도가 향상된다. 그러한 결과는 전극의 하부 표면에서의 상대적으로 높은 곡선도 또는 경사도 단계를 필요로 한다. 예를 들어, 도 32의 계단형 전극의 일구현예에서, 직경은 약 300mm이고, 웨이퍼 에지에서의 웨이퍼-천정 갭은 25mm이며, 중앙-에지간 갭 차이는 약 12mm이다. 도 33의 곡선형 천정의 일구현예에서, 중앙-에지간 갭 차이는 약 15mm이다. 그러한 높은 곡선도 또는 경사도 단계를 갖는 도 16 내지 도 20의 가스 샤워헤드 전극을 제조하는 것은 실용적이지 않다. 실제적으로, 도 18의 많은 개수의 좁은 환형 가스 주입 개구부들(908)의 제조, 및 많은 개수의 압착-피팅 플러그들을 이용하는 도 19의 복잡한 어셈블리는 상당히 고비용을 발생시킨다.
본 발명의 리액터는 아킹 문제를 해경하기 위한 도 16 내지 도 20의 접근법과는 다르다. 도 18의 각각의 가스 개구부(908)의 좁은 환형 형상은, 아킹 방지를 위한 높이를 갖도록 각각의 개구부 내의 방사상 전계가 강하되는 속도를 개선시키기 위해 필요했었다는 것이 기억될 것이다. 본 발명의 리액터에서, 다수의 원통형 홀들에서 방사상 전계 강하는 아킹을 억압시키기에 충분하고, 매우 작은 오리피스공급원-진공 가스 압력 경감은 높은 방사상 전계의 영역 위쪽에서 충분한 높이로 달성된다. 그러므로, 본 발명의 리액터는 가스 배출 홀들 위쪽의 매우 작은 압력-경감 오리피스들(실질적으로 모든 공급원-진공 가스 압력 경감이 높은 방사상 전계 영역 위쪽에서 발생하도록 하기 위함)에 이해 공급된 전극 표면(플라즈마 근처의 높은 방사상 전계 영역 내의 높은 가스 압력을 회피하기 위함)에서의 상대적으로 큰 원통형 가스 출구 홀들을 사용한다. (도 18의 환형의 가스 출구들 대신에) 원통형의 홀 가스 출구들을 이용하는 장점은, 원통형 홀들은 도 32의 계단형 전극의 하부 표면 또는 도 33의 곡선형 전극의 하부 표면과 같은, 크게 만곡되거나 가파른 계단형 표면들로 쉽게 제조될 수 있다.
각각의 출구 홀 내부의 아킹 또는 플라즈마 방전을 억압을 보조하는 특성은, (1) 높은 방사상 전계 영역 내부 또는 플라즈마 근처에서의 고압을 회피하기 위한 가스 출구 홀들의 고 전도도(큰 직경), (2) 가스가 가스 출구 홀들에 도달하기 전에 공급 압력에서 챔버 진공으로 가스 압력으로 경감시키기 위한 압력-경감 오리피스들의 저 전도도(작은 직경), 및 (3) 압력-경감 오리피스들 내의 방사상 전계를 최소화시키기 위한, 플라즈마와 면하는 전극 표면 위쪽의 압력-경감 오리피스들의 충분한 축 높이를 포함한다. 이러한 축 높이는, 가스 압력과 방사상 전계 강도의 결합이 도 23의 파센 곡선으로 한정되는 아킹 임계치를 초과하지 못하도록 하기에 충분하다. 특정하게, 압력-경감 오리피스들 내에서, 플라즈마와 면하는 전ㄱ그 표면 위쪽의 축 높이는 각각의 오리피스 내의 그러한 낮은 방사상 전계를 생성하기에 충분해서, 압력-전계 결합은 도 23의 파센 곡선 아래쪽의 고압력-저 전계 위치(930)에 해당한다. 압력-경감 오리피스들의 축 높이는, 전형적으로 수천 와트인 고레벨의 플라즈마 RF 또는 VHF 전원의 조건을 충족시키기에 충분하다. 압력-경감 오리피스들의 요구되는 축 높이는 약 50 내지 70mm 일 수 있고, 이때 전극은 예를 들어, 알루미늄과 같은 일차 금속으로 형성된다. (도 23의 파센 곡선은 "압력" 치 수를 수평축으로 사용하는데, 이는 전체 길이를 따라 균일한 직경을 갖는 가스 홀들에 대해 편리하다. 그러나, 이러한 치수는 홀의 길이를 따른 직경 변화를 갖는 홀들에 대한 "압력 곱하기 직경"이다.)
도 32는 도 15의 리액터의 변형도를 도시하는데, 이때 오버헤드 전극은 플라즈마와 면하는 중앙이 높은 계단형 표면을 갖는다. 도 32에서, 도 15의 가열 및 냉각판들(903, 904)은 간략화를 위해 생략된다. 도 32를 참조하면, 계단형 가스 샤워헤드 전극(3210)의 공정 영역과 면하는 표면들은 원형의 중앙 평면(3210a), 및 중앙의 평면(3210a)의 높이로부터 연속적으로 계단형으로 작아지는 평평한 환형의 외측 표면들(3210b, 3210c)을 포함한다. 세 개의 계단형 표면들이 도 32의 실시예에 사용될지라도, 임의의 적합한 개수가 이용될 수 있다. 계단형 표면들(3210a, 3210b)은 환형 대각선의 아치형 전이 표면(3212a)에 의해 쉽게 결합된다. 계단형 표면들(3210b, 3210c)은 환형 대각선의 아치형 전이 표면(3212b)에 의해 쉽게 결합된다.
이러한 표면들 각각은 내부에 드릴링된 많은 개수의 가스 출구 홀들(3214)을 구비하고, 상기 홀들의 직경은 상대적으로 큰데 약 50 mils(50000인치)이다. 도 35의 확대된 횡단면도를 참조하면, 각각의 출구 홀(3214)은 더 큰 상부 홀(3216) 내부로 연장한다. 다시 도 32를 참조하면, 얇은 내부측 및 외부측 플레넘(3218-1, 3218-2)은 전극(3210)의 내부측 및 외부측 환형 영역들에 대해 방사상으로 연장한다. 내부측 및 외부측 플레넘들(3218-1, 3218-2)은 별도의 내부측 및 외부측 가스 출구 포트들의 그룹에 결합(아래에서 설명되는 방식으로)되어서, 가스 흐름의 방사 상 분배는 내부측 및 외부측 플레넘들 각각으로의 가스 흐름을 조정함으로써 조절될 수 있다. 도 35의 확대도는 단지 내부측 플레넘(3218-1)만을 도시한다. 이와 함께, 내부측 및 외부측 플레넘들(3218-1, 3218-2)은 전극(3210)의 상부 및 하부 섹션들(3210-1, 3210-2) 사이의 경계를 한정하는 것으로써 간주될 수 있다. 도 35의 확대도를 다시 참조하면, 상부 전극 섹션(3210-1)의 상부의 가스 매니폴드들(3220)은 약 200 내지 300mils 사이의 큰 직경을 갖는 고 전도도의 통로들(3224)을 통해, 방사상으로 연장하는 플레넘(3218)에 결합된 매운 작은 압력-경감 오리피스들(3222)를 제공한다. 압력-경감 오리피스들(3222)은 작은 길이의 축상으로-연장하는 원통형 홀들이다. 홀들의 직경은 일실시예에서 약 10mils이고, 공급 압력을 리액터 챔버내의 진공 압력에 적어도 가까이 가스 압력을 경감시키기에 충분히 작고, 더 낮은 전극 섹션(3210-2)에서 출구 홀들(3214, 3216)을 통한 가스 전도도는 충분히 크다. 이러한 이유로 인해, 가스 출구 홀들(3214, 3216)은 약 50mils의 상대적으로 큰 직경을 갖는다. 유사하게, 방사상으로 연장하는 얇은 플레넘들(3218-1, 3218-2)의 영역은 충분히 큰 평면(오버헤드 전극 영역의 약 절반 영역을 가짐)이어서, 각각의 플레넘(3218-1, 3218-2)의 작은 높이에도 불구하고 각각의 플레넘(3218-1, 3218-2) 내부의 가스 전도도는 그 내부의 압력 경사도를 회피하기에 유사하게 높다.
작은 오리피스들(3222)의 높은 압력 영역과 플라즈마 사이의 축 분리를 보장하기 위해, 압력-경감 오리피스들(3222)이 중앙 내부측 전극 표면(3210a) 위쪽에서 약 70mm을 갖는다. 이러한 변위(도 35의 D)는 전극(3210)의 계단형 구성으로 인해 웨이퍼 에지 근처에서 외부측 전극 표면(3210c)에서 더 크다. 계단형 표면들(3210a, 3210b, 3210c) 각각의 상이한 축 높이들을 수용하기 위해, 중간 가수 홀들(3216)의 축 길이들은 방사상 위치의 함수로서 가변하고, 최외부 표면(3210c)에서의 최대 길이로부터 내부 또는 중앙 표면(3210a)에서의 최소 길이의 범위를 갖는다. 전극의 플라즈마와 면하는 표면 위쪽에서 압력-경감 오리피스들(3222)의 충분한 최소 축 변위를 보장하기 위해, 오리피스들(3222)에 의해 제공된 큰 가스 통로들(3224)은 가스 출구들(3214)에서 아킹 또는 플라즈마 중단을 방지하기 위해 위에서 설명된 조건을 충족시키는데 필요한 최소 변위만큼 거의 길 축 길이를 갖는다. 이러한 간격은 약 70mm이다. 이러한 간격에 대한 가장 바람직한 선택은, 전극에 인가된는 RF 또는 VHF 전원 레벨 및 진공 챔버 내부의 가스 압력에 따라 가변적일 수 있다.
전극(3210)은 예를 들어 알루미늄과 같은 금속으로 형성될 수 있다. 도 35에 도시된 박막 실리콘 카바이드 보호층(902')은 전극(3210)의 하부 표면에 부착될 수 있다. 실리콘 카바이드 보호층(902')은, 도 35의 실리콘 카바이드 보호층(902')이 환형의 가스 출구들(도 18)을 갖지 않고 대신에 전극(3210)의 가스 출구 홀들(3214)과 결합하여 정합되는, 가스 출구들을 위한 원통형 홀들(3310)을 구비한다는 것을 제외하고는, 도 20의 실리콘 카바이드 보호층(902)에 상응한다. 실리콘 카바이드 보호층(902')의 가스 출구 홀들(3310)의 간단한 원통형으로 인해, 도 32의 경사진 계단 또는 도 33의 가파른 곡선을 갖는 실리콘 카바이드 보호층(902')을 제조하는 것이 용이해 진다. 가파른 또는 형상이라는 용어들은 상대적으로 큰 중 앙-에지간 갭 차이를 나타내고, 도 32 및 도 33에서는 300mm 직경에 대해 약 12mm였거나 약 4% 였다.
도 36을 참조하면, 실리콘 카바이드 보호층(902')은 도 20을 참조하여 논의된 유형의 접착 물질(3315)에 의해 전극(3210)의 하부 표면(즉, 하부 전극 섹션(3210-2)의 하부 표면)에 본딩된다. 축 포스트들(3320)은 전극의 하부면으로부터 연장하고, 전극(3210)과 보호층(902') 사이의 공간을 결정하는 높이를 갖는다. 전극(3210)은 플라즈마로부터 접착 아교(3315)를 적어도 부분적으로 차폐하기 위해, 하부 표면으로부터 하향하여 돌출하는 축상으로 연장하여 각각의 가스 출구(3214)를 둘러싸는 숄더들(3325)을 구비할 수 있다.
도 33은, 도 33의 오버헤드 전극의 하부 표면(3315a')이 계단형이 아니고, 중앙이 높은 곡선도를 갖는 연속적 아치형 표면인 것을 제외하면, 도 32의 리액터와 유사한 리액터이다. 도 37의 그래프에 도시된 바와 같이, 상이한 곡률들이 도 33의 전극을 구성하는데 이용될 수 있다. 도 37은 A 및 B로 표시된 두 개의 상이한 실시예들의 mm 의 반경 함수로서, mm(실리콘 카바이드 보호층(902')의 하부에서 즉정죔)의 전극 높이를 도시하는 그래프이다. A로 표시된 곡선은 일정한 곡률 반경을 갖는 실시예를 도시한다. 다른 실시예들은 다중-반경 돔일 수 있다. B로 표시된 곡선은 전극의 최외측 10%가 평평한 실시예를 도시한다. 도 38은 반경 함수로서 에칭율을 도시하는 그래프이다. 도 38에서 C로 표시된 곡선은 도 37의 곡선형 전극 구성 A에 의해 획득된 결과들을 나타내고, D 곡선은 평평한 아치 환형을 갖는 도 37의 곡선형 전극 구성 B에 의해 획득된 결과를 나타낸다. 도 38은, 평평 화된 전극 에지 설계(도 37의 B)는 웨이퍼 에지 근처에서의 에칭율 비-균일성을 감소시킨다. 도 37의 구성들(A 및 B)의 곡률은, 중앙-에지간 높이 차이가 전극 직경의 약 27%이도록 된다. 이러한 높이 차이는 예를 들어 전극 직경의 약 20% 내지 100% 범위일 수 있다.
리액터가 바람직한 특정 실시예들을 참조하여 설명되었을지라도, 본 발명의 리액터들의 사상 및 범주를 벗어나지 않으면서 변형예들 및 수정예들은 이루어질 수 있음은 자명하다.
따라서, 가스 출구 오리피스들 내부에서 발생하는 아킹 경향을 증가시키지 않으면서 전극에 충분한 곡률을 제공하는 플라즈마 공정을 달성할 수 있다.

Claims (48)

  1. 리액터의 진공 챔버에서 지지대 페데스탈 상의 워크피스를 처리하기 위한 플라즈마 리액터에서, 상기 리액터의 천정의 적어도 일부를 형성하고, 상기 리액터의 RF 플라즈마 전원 공급기이며, 상기 리액터의 처리 영역과 면하고 있는 하부 표면을 구비하는, 방사상으로 연장하는 가스 분배 전극으로서,
    상기 전극의 상부 부분에서 공급 압력으로 처리 가스를 수용하기 위한 가스 공급 매니폴드;
    각각의 일단부에서, 상기 가스 공급 매니폴드로부터 상기 전극에 대해 축상으로 연장하는 다수의 압력-경감 원통형 오리피스들;
    상기 전극에 대해 방사상으로 연장하는, 상기 전극 내부의 방사상 가스 분배 매니폴드;
    상기 다수의 압력-경감 오리피스들 각각의 대향 단부들을 상기 방사상 가스 분배 매니폴드에 결합시키는 다수의 축상으로 연장하는 고 전도도의 가스 흐름 통로들; 및
    상기 전극의 상기 하부 표면에 형성되고, 상기 방사상 가스 분배 매니폴드에 축상으로 연장하는 다수의 고 전도도 원통형 가스 출구 홀들
    을 포함하는, 가스 분배 전극
  2. 제 1 항에 있어서,
    상기 전극의 하부 표면은 (a) 곡선형 표면; (b) 계단형 표면 중 하나를 포함하는 비-평면형 표면인 것을 특징으로 하는 가스 분배 전극.
  3. 제 2 항에 있어서,
    상기 비-평면형 표면은 중앙이 높은 표면인 것을 특징으로 하는 가스 분배 전극.
  4. 제 3 항에 있어서,
    상기 비-평면형 표면은, 상기 전극의 직경의 약 20% 내지 100%의 중앙-에지간 높이 차이를 갖는 것을 특징으로 하는 가스 분배 전극.
  5. 제 3 항에 있어서,
    상기 하부 표면을 커버하는 보호층을 더 포함하고, 상기 보호층은 공정-호환가능 물질로 형성되며, 상기 가스 출구 홀들은 상기 보호층을 통해 연장하는 것을 특징으로 하는 가스 분배 전극.
  6. 제 5 항에 있어서,
    상기 보호층은 반도체-함유 물질을 포함하는 것을 특징으로 하는 가스 분배 전극.
  7. 제 6 항에 있어서,
    상기 반도체-함유 물질은 실리콘 카바이드를 포함하는 것을 특징으로 하는 가스 분배 전극.
  8. 제 6 항에 있어서,
    상기 반도체-함유 물질은 (a) 실리콘, (b) 카본, (c) 게르마늄 중 적어도 하나를 포함하는 것을 특징으로 하는 가스 분배 전극.
  9. 상기 다수의 압력-경감 오리피스들은 상기 가스 출구 통로들의 직경의 1/5 정도인 것을 특징으로 하는 가스 분배 전극.
  10. 제 9 항에 있어서,
    상기 압력-경감 오리피스들은 10 mil 정도의 직경을 갖는 것을 특징으로 하는 가스 분배 전극.
  11. 제 1 항에 있어서,
    상기 고 전도도의 가스 흐름 통로들은 상기 하부 표면 위쪽에서 상기 압력-경감 오리피스들의 최소 축방향 변위를 한정하는 축 길이를 갖는 것을 특징으로 하는 가스 분배 전극.
  12. 제 11 항에 있어서,
    상기 최소 변위는 상기 압력-경감 오리피스들 내부의 아킹 또는 플라즈마 파괴(breakdown)을 방지하기에 충분한 것을 특징으로 하는 가스 분배 전극.
  13. 제 11 항에 있어서,
    상기 최소 변위는 상기 각각의 오리피스 내부의 방사 전계를 충분히 낮게 유지하기에 충분하므로, 상기 오리피스들 내부에서의 가스 압력과 방사 전계 값들의 결합은 플라즈마를 파괴시키기에는 불충분한 것을 특징으로 하는 가스 분배 전극.
  14. 제 1 항에 있어서,
    상기 고 전도도의 가스 흐름 통로들은 약 70 mm 정도의 축 길이를 갖는 것을 특징으로 하는 가스 분배 전극.
  15. 제 1 항에 있어서,
    상기 압력-경감 오리피스들은 충분히 작은 가스 전도도를 가지고, 상기 가스 출구 홀들은 충분히 높은 가스 전도도를 가짐으로써, 상기 압력-경감 오리피스들 각각을 통한 압력 경감은 공급 압력과 진공 챔버 사이의 압력 차이의 적어도 80%인 것을 특징으로 하는 가스 분배 전극.
  16. 제 15 항에 있어서,
    상기 압력-경감 오리피스들은 상기 하부 표면으로부터 축상으로 충분히 변위되어, 상기 압력-경감 오리피스들 내의 방사상 전계는 상기 가스 출구 홀들 내의 최대 방사상 전계의 10% 미만인 것을 특징으로 하는 가스 분배 전극.
  17. 제 1 항에 있어서,
    상기 하부 표면은 평평한 환형 둘레를 갖는 중앙이 높은 곡선형 표면을 포함하는 것을 특징으로 하는 가스 분배 전극.
  18. 리액터의 저압력 처리 영역과 면하는 하부 표면을 가지는, 플라즈마 리액터의 가스 분배 금속성 전극으로서,
    각각의 일단부에서 공급 압력으로 처리 가스를 수용하도록 결합되고, 상기 전극에 대해 축상으로 연장하는 다수의 압력-경감 원통형 오리피스들; 및
    상기 하부 표면에 형성되며, 상기 압력 경감 오리피스들의 낮은 압력 측에 결합된, 다수의 축상으로 연장하는 고 전도도의 원통형 가스 출구 홀들
    을 포함하는 가스 분배 전극.
  19. 제 18 항에 있어서,
    상기 전극의 하부 표면은 (a) 곡선형 표면, (b) 계단형 표면 중 하나를 포함하는 비-평면형 표면인 것을 특징으로 하는 가스 분배 전극.
  20. 제 19 항에 있어서,
    상기 비-평면형 하부 표면은 중앙이 높은 표면인 것을 특징으로 하는 가스 분배 전극.
  21. 제 20 항에 있어서,
    상기 비-평면형 하부 표면은, 상기 전극 직경의 약 20% 내지 100%의 중앙-에지간 높이 차이를 갖는 것을 특징으로 하는 가스 분배 전극.
  22. 제 21 항에 있어서,
    상기 하부 표면을 커버하고 공정간-호환가능 물질로 형성되는 보호층을 더 포함하며, 상기 가스 출구 홀들은 상기 보호층을 통해 연장하는 것을 특징으로 하는 가스 분배 전극.
  23. 제 22 항에 있어서,
    상기 보호층은 반도체-함유 물질을 포함하는 것을 특징으로 하는 가스 분배 전극.
  24. 제 23 항에 있어서,
    상기 반도체-함유 물질은 실리콘 카바이드를 포함하는 것을 특징으로 하는 가스 분배 전극.
  25. 제 23 항에 있어서,
    상기 반도체-함유 물질은 (a) 실리콘, (b) 카본, (c) 게르마늄 중 적어도 하나를 포함하는 것을 특징으로 하는 가스 분배 전극.
  26. 제 18 항에 있어서,
    상기 다수의 압력-경감 오리피스들은 상기 가스 출구 통로들의 직경의 1/5 정도인 것을 특징으로 하는 가스 분배 전극.
  27. 제 26 항에 있어서,
    상기 압력-경감 오리피스들은 10mil 정도의 직경을 갖는 것을 특징으로 하는 가스 분배 전극.
  28. 제 18 항에 있어서,
    상기 압력-경감 오리피스들은 상기 하부 표면에 대해 감소된 방사상 전계를 갖도록 상기 하부 표면으로부터 충분히 떨어져 위치되고, 상기 압력-경감 오리피스들은 상기 챔버의 진공 압력 또는 진공 압력 근처의 압력으로 상기 가스 출구 홀들 내의 압력을 제한하기에 충분히 좁은 것을 특징으로 하는 가스 분배 전극.
  29. 제 28 항에 있어서,
    상기 감소된 방사상 전계는 상기 압력-경감 오리피스들 내부의 아킹 또는 플라즈마 파괴를 방지하도록 충분히 작은 것을 특징으로 하는 가스 분배 전극.
  30. 제 18 항에 있어서,
    상기 압력-경감 오리피스들은 충분히 작은 가스 전도도를 가지고 상기 가스 출구 홀들은 충분히 높은 가스 전도도를 가짐으로써, 상기 압력-경감 오리피스들 각각을 통한 압력 경감은 공급 압력과 진공 챔버 사이의 압력 차이의 적어도 80% 인 것을 특징으로 하는 가스 분배 전극.
  31. 제 30 항에 있어서,
    상기 압력-경감 오리피스들은 상기 하부 표면으로부터 축상으로 충분히 변위됨으로써, 상기 압력-경감 오리피스들 내의 방사상 전계는 상기 가스 출구 홀들 내의 최대 방사상 전계의 10% 미만인 것을 특징으로 하는 가스 분배 전극.
  32. 제 18 항에 있어서,
    상기 하부 표면은 평평한 환형 둘레를 갖는 중심이 높은 곡선형 표면을 포함하는 것을 특징으로 하는 가스 분배 전극.
  33. 플라즈마 리액터로서,
    진공 챔버;
    상기 챔버의 천정을 형성하고, 전극 리액턴스를 가지며, 상기 리액터의 저 압력 처리 영역과 면하는 하부 표면을 갖는 가스 분배 금속성 전극;
    VHF 전원 발생기, 및 상기 발생기에 상기 전극을 결합시키는 고정된 임피던스 정합 엘리먼트; 및
    상기 VHF 전원 발생기의 주파수와 동일하거나 거의 동일한 플라즈마 공진 주파수에서 상기 챔버 내에서 상기 플라즈마와의 공진을 형성하는, 리액턴스를 갖는 VHF 전극
    을 포함하는 플라즈마 리액터에 있어서,
    상기 전극은,
    상기 전극에 대해 축상으로 연장하는 다수의 압력-경감 원통형 오리피스들 - 상기 오리피스들은 상기 오리피스 각각의 일단부에서 공급 압력으로 처리 가스를 수용하도록 결합됨 - 및
    상기 하부 표면에 형성되고, 상기 압력 경감 오리피스들의 저 압력 측에 결합된, 축상으로 연장하는 다수의 고 전도도의 원통형 가스 출구 홀들
    을 포함하는, 플라즈마 리액터.
  34. 제 33 항에 있어서,
    상기 고정된 임피던스 정합 엘리먼트는 상기 공진 주파수와 동일하거나 거의 동일한 공진 주파수를 갖는 것을 특징으로 하는 플라즈마 리액터.
  35. 제 34 항에 있어서,
    상기 고정된 임피던스 정합 엘리먼트는 동조 스터브를 포함하는 것을 특징으로 하는 플라즈마 리액터.
  36. 제 34 항에 있어서,
    상기 고정된 임피던스 정합 엘리먼트는 스트립 라인 회로를 포함하는 것을 특징으로 하는 플라즈마 리액터.
  37. 제 34 항에 있어서,
    상기 고정된 임피던스 정합 엘리먼트의 공진 주파수 및 상기 VHF 전원 발생기의 주파수는 상기 플라즈마 공진 주파수로부터 오프셋되는 것을 특징으로 하는 플라즈마 리액터.
  38. 제 33 항에 있어서,
    상기 전극의 하부 표면은 (a) 곡선형 표면; (b) 계단형 표면 중 하나를 포함하는 것을 특징으로 하는 가스 분배 전극.
  39. 제 38 항에 있어서,
    상기 비-평면형 하부 표면은 중앙이 높은 표면인 것을 특징으로 하는 가스 분배 전극.
  40. 제 39 항에 있어서,
    상기 비-평면형 하부 표면은, 상기 전극 직경의 약 20% 내지 100%의 중앙-에지간 높이 차이를 갖는 것을 특징으로 하는 가스 분배 전극.
  41. 제 33 항에 있어서,
    상기 하부 표면을 커버하며, 공정간-호환가능 물질로 형성되는 보호층을 더 포함하고, 상기 가스 출구 홀들은 상기 보호층을 통해 연장하는 것을 특징으로 하는 가스 분배 전극.
  42. 제 41 항에 있어서,
    상기 보호층은 반도체-함유 물질을 포함하는 것을 특징으로 하는 가스 분배 전극.
  43. 제 42 항에 있어서,
    상기 반도체-함유 물질은 실리콘 카바이드를 포함하는 것을 특징으로 하는 가스 분배 전극.
  44. 제 42 항에 있어서,
    상기 반도체-함유 물질은 (a) 실리콘, (b) 카본, (c) 게르마늄 중 적어도 하 나를 포함하는 것을 특징으로 하는 가스 분배 전극.
  45. 제 33 항에 있어서,
    상기 다수의 압력-경감 오리피스들은 상기 가스 출구 통로들의 직경의 1/5 정도인 것을 특징으로 하는 가스 분배 전극.
  46. 제 26 항에 있어서,
    상기 압력-경감 오리피스들은 10mil 정도의 직경을 갖는 것을 특징으로 하는 가스 분배 전극.
  47. 제 33 항에 있어서,
    상기 압력-경감 오리피스들은 상기 하부 표면에 대해 감소된 방사상 전계를 갖도록 상기 하부 표면으로부터 충분히 떨어져 위치되고, 상기 압력-경감 오리피스들은 상기 챔버의 진공 압력 또는 진공 압력 근처의 압력으로 상기 가스 출구 홀들 내의 압력을 제한하도록 충분히 좁은 것을 특징으로 하는 가스 분배 전극.
  48. 제 47 항에 있어서,
    상기 감소된 방사상 전계는 상기 압력-경감 오리피스들 내부의 아킹 또는 플라즈마 파괴를 방지하도록 충분히 작은 것을 특징으로 하는 가스 분배 전극.
KR1020060008118A 2005-01-28 2006-01-26 낮은 아킹 경향, 원통형 가스 출구들, 및 형상 표면을 갖는플라즈마 리액터 오버헤드 전원 전극 KR100854620B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/046,538 US7196283B2 (en) 2000-03-17 2005-01-28 Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US11/046,538 2005-01-28

Publications (2)

Publication Number Publication Date
KR20060087428A true KR20060087428A (ko) 2006-08-02
KR100854620B1 KR100854620B1 (ko) 2008-08-27

Family

ID=36608747

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060008118A KR100854620B1 (ko) 2005-01-28 2006-01-26 낮은 아킹 경향, 원통형 가스 출구들, 및 형상 표면을 갖는플라즈마 리액터 오버헤드 전원 전극

Country Status (7)

Country Link
US (1) US7196283B2 (ko)
EP (1) EP1691396A3 (ko)
JP (2) JP4813908B2 (ko)
KR (1) KR100854620B1 (ko)
CN (1) CN1812684A (ko)
MY (1) MY137871A (ko)
TW (1) TWI348334B (ko)

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
JP3897620B2 (ja) * 2002-03-14 2007-03-28 三菱重工業株式会社 高周波電力供給構造およびそれを備えたプラズマcvd装置
US7507670B2 (en) * 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
ITMI20050962A1 (it) * 2005-05-25 2006-11-26 Lpe Spa Dispositivo per introurre gas di reazione in una camera di reazione e reattore epitassiale che lo utilizza
US20070029283A1 (en) * 2005-08-02 2007-02-08 Micron Technology, Inc. Etching processes and methods of forming semiconductor constructions
US7651585B2 (en) 2005-09-26 2010-01-26 Lam Research Corporation Apparatus for the removal of an edge polymer from a substrate and methods therefor
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
TWI412080B (zh) * 2006-11-09 2013-10-11 Ulvac Inc The method of forming a barrier film
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
KR101119627B1 (ko) 2007-03-29 2012-03-07 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8435379B2 (en) 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
US7582265B2 (en) * 2007-06-28 2009-09-01 Plasma Waste Recycling, Inc. Gas conduit for plasma gasification reactors
US7807222B2 (en) 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US8689734B2 (en) 2007-10-01 2014-04-08 Tel Solar Ag Deposition of active films
KR101381208B1 (ko) * 2007-11-20 2014-04-04 주성엔지니어링(주) 박막처리장치
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
JP5265700B2 (ja) * 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090162261A1 (en) * 2007-12-19 2009-06-25 Kallol Baera Plasma reactor gas distribution plate having a vertically stacked path splitting manifold
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8367965B2 (en) * 2008-08-28 2013-02-05 Hermes-Epitek Corp. Electrode design for plasma processing chamber
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
KR101587054B1 (ko) * 2009-11-23 2016-01-21 주성엔지니어링(주) 기판처리장치
US8920597B2 (en) 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US10658161B2 (en) 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9543123B2 (en) 2011-03-31 2017-01-10 Tokyo Electronics Limited Plasma processing apparatus and plasma generation antenna
TWI792087B (zh) 2011-05-05 2023-02-11 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
DE102011080620B4 (de) * 2011-08-08 2014-06-05 Siemens Aktiengesellschaft Verfahren für die Beschichtung eines Isolationsbauteils und Isolationsbauteil sowie elektrisch leitfähiges Heizkabel
TWI646869B (zh) * 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
KR101854738B1 (ko) * 2012-01-09 2018-06-20 주성엔지니어링(주) 박막 증착 장치, 플라즈마 발생 장치, 및 박막 증착 방법
TWI439700B (zh) 2012-01-19 2014-06-01 Ind Tech Res Inst 用於具有雙導線之電力電纜線的電力感測裝置
US8932429B2 (en) * 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US10131994B2 (en) 2012-07-20 2018-11-20 Applied Materials, Inc. Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP5723397B2 (ja) * 2013-02-18 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
US10163606B2 (en) 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
TW201530041A (zh) * 2013-10-11 2015-08-01 Applied Materials Inc 促進對於多腔室的系統單點連接之緊密危險性氣體線分配
US10456855B2 (en) 2013-11-13 2019-10-29 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
US11278983B2 (en) 2013-11-13 2022-03-22 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
US9981335B2 (en) 2013-11-13 2018-05-29 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
US11684995B2 (en) 2013-11-13 2023-06-27 Hypertherm, Inc. Cost effective cartridge for a plasma arc torch
US11432393B2 (en) 2013-11-13 2022-08-30 Hypertherm, Inc. Cost effective cartridge for a plasma arc torch
RU2693233C2 (ru) 2014-08-12 2019-07-01 Гипертерм, Инк. Затратоэффективная головка для плазменно-дуговой горелки
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
JP6501493B2 (ja) 2014-11-05 2019-04-17 東京エレクトロン株式会社 プラズマ処理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
JP6542053B2 (ja) * 2015-07-15 2019-07-10 株式会社東芝 プラズマ電極構造、およびプラズマ誘起流発生装置
EP4243575A3 (en) 2015-08-04 2023-10-25 Hypertherm, Inc. Cartridge for a liquid-cooled plasma arc torch
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105232144B (zh) * 2015-11-18 2017-07-21 南京亿高微波系统工程有限公司 一种用于耳鼻喉科手术的双极等离子系统及其控制方法
KR101798373B1 (ko) * 2016-05-03 2017-11-17 (주)브이앤아이솔루션 유도결합 플라즈마 처리장치의 유전체창 지지구조
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
CN108257840B (zh) * 2016-12-29 2021-03-30 中微半导体设备(上海)股份有限公司 一种等离子处理装置
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
JP6950196B2 (ja) * 2017-02-16 2021-10-13 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の再生方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
JP7052796B2 (ja) * 2017-07-28 2022-04-12 住友電気工業株式会社 シャワーヘッド及びその製造方法
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2020112108A1 (en) 2017-11-29 2020-06-04 COMET Technologies USA, Inc. Retuning for impedance matching network control
JP7026498B2 (ja) * 2017-12-12 2022-02-28 東京エレクトロン株式会社 アンテナ及びプラズマ成膜装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109961999B (zh) * 2017-12-22 2021-03-23 中微半导体设备(上海)股份有限公司 一种气体喷淋头及防止聚合物积聚的方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP7022312B2 (ja) 2018-01-25 2022-02-18 日新電機株式会社 容量素子及びプラズマ処理装置
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11532464B2 (en) * 2018-02-15 2022-12-20 Applied Materials, Inc. Reactor design for large-area VHF plasma processing with improved uniformity
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
DE102018112938A1 (de) * 2018-05-30 2019-12-05 VON ARDENNE Asset GmbH & Co. KG Gasversorgung, Beschichtungsvorrichtung und Verfahren
CN112105759B (zh) * 2018-07-31 2023-11-24 应用材料公司 用于cvd腔室的气体箱
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN112740367A (zh) * 2018-09-27 2021-04-30 东京毅力科创株式会社 基片处理装置和基片处理方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
KR20210041354A (ko) * 2019-10-07 2021-04-15 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 기판 처리 장치
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
JP2022048825A (ja) 2020-09-15 2022-03-28 東京エレクトロン株式会社 プラズマ処理装置及び半導体デバイスの製造方法
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
CN116417321A (zh) * 2021-12-31 2023-07-11 中微半导体设备(上海)股份有限公司 一种测温结构、上电极组件及等离子体处理装置
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2967926A (en) 1958-03-10 1961-01-10 Union Carbide Corp Testing process and apparatus
US2951960A (en) 1959-03-24 1960-09-06 Tung Sol Electric Inc Gaseous discharge device
FR1402020A (fr) * 1964-04-27 1965-06-11 Csf Perfectionnements aux sources d'ions
US3610986A (en) 1970-05-01 1971-10-05 Union Carbide Corp Electron beam source including a pilot nonthermionic, electron source
US4458180A (en) 1982-02-18 1984-07-03 Elscint Ltd. Plasma electron source for cold-cathode discharge device or the like
US4570106A (en) 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5006760A (en) 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (de) 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner Hochfrequenz-ionenquelle
US4973883A (en) 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
US4888518A (en) 1987-11-16 1989-12-19 Itt Corporation Gas circulation apparatus for ceramic electron tubes
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
EP0334184B1 (en) 1988-03-16 1996-08-14 Hitachi, Ltd. Microwave ion source
US5115167A (en) 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US5055853A (en) 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE3923661A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5300460A (en) 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5032202A (en) 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5223457A (en) 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
JPH04901A (ja) 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
EP0463408A3 (en) 1990-06-22 1992-07-08 Hauzer Techno Coating Europe Bv Plasma accelerator with closed electron drift
US5707486A (en) 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5376211A (en) 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
FR2667980A1 (fr) 1990-10-12 1992-04-17 Sodern Source d'electrons presentant un dispositif de retention de matieres.
JP2501948B2 (ja) 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5246532A (en) 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
EP0585229B1 (en) 1991-05-21 1995-09-06 Materials Research Corporation Cluster tool soft etch module and ecr plasma generator therefor
US5432315A (en) * 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
US5198725A (en) 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
US5314603A (en) * 1991-07-24 1994-05-24 Tokyo Electron Yamanashi Limited Plasma processing apparatus capable of detecting and regulating actual RF power at electrode within chamber
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
TW249313B (ko) 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
EP0634778A1 (en) 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
US5849372A (en) 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
JPH07121862A (ja) 1993-10-27 1995-05-12 Sony Corp 塗布型磁気記録媒体
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
JP3279038B2 (ja) 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5512130A (en) 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
KR100327086B1 (ko) 1994-06-15 2002-03-06 구사마 사부로 박막 반도체 장치의 제조방법, 박막 반도체 장치,액정표시장치 및 전자기기
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
IT1269413B (it) 1994-10-21 1997-04-01 Proel Tecnologie Spa Sorgente di plasma a radiofrequenza
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2956494B2 (ja) 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5576600A (en) 1994-12-23 1996-11-19 Dynatenn, Inc. Broad high current ion source
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100226366B1 (ko) 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5942074A (en) 1996-03-29 1999-08-24 Lam Research Corporation Single-piece gas director for plasma reactors
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5770922A (en) 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
JPH10134996A (ja) 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
JP3650248B2 (ja) 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
JP2929284B2 (ja) 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US5929717A (en) 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP4098711B2 (ja) * 2000-02-21 2008-06-11 株式会社日立製作所 プラズマ処理装置
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
JP4669137B2 (ja) * 2001-02-16 2011-04-13 東京エレクトロン株式会社 分割可能な電極及びこの電極を用いたプラズマ処理装置
US20020139477A1 (en) * 2001-03-30 2002-10-03 Lam Research Corporation Plasma processing method and apparatus with control of plasma excitation power

Also Published As

Publication number Publication date
EP1691396A3 (en) 2009-05-06
TW200628021A (en) 2006-08-01
EP1691396A2 (en) 2006-08-16
MY137871A (en) 2009-03-31
JP2006210929A (ja) 2006-08-10
US20050178748A1 (en) 2005-08-18
JP2009004796A (ja) 2009-01-08
TWI348334B (en) 2011-09-01
CN1812684A (zh) 2006-08-02
US7196283B2 (en) 2007-03-27
KR100854620B1 (ko) 2008-08-27
JP4813908B2 (ja) 2011-11-09

Similar Documents

Publication Publication Date Title
KR100854620B1 (ko) 낮은 아킹 경향, 원통형 가스 출구들, 및 형상 표면을 갖는플라즈마 리액터 오버헤드 전원 전극
KR100903535B1 (ko) 아킹 억제된 플라즈마에 튜닝되는 오버헤드 rf 전극을갖는 플라즈마 반응기
US7220937B2 (en) Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
KR100557273B1 (ko) 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기
JP5313211B2 (ja) フォーカスリング及びプラズマ処理装置
TWI771541B (zh) 具有低頻射頻功率分佈調節功能的等離子反應器
US7658816B2 (en) Focus ring and plasma processing apparatus
KR101546278B1 (ko) 플라스마 챔버의 조정가능한 접지 평면
US6182602B1 (en) Inductively coupled HDP-CVD reactor
US6286451B1 (en) Dome: shape and temperature controlled surfaces
JP5199351B2 (ja) 可変静電容量を有するプラズマ処理システムのための方法および装置
US20040027781A1 (en) Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
KR101480738B1 (ko) 환형 배플
KR20040038990A (ko) 독립적인 플라즈마 밀도/화학 및 이온에너지 제어를 갖춘이중 주파수 플라즈마 에칭 반응기
KR20010080530A (ko) 반도체 처리를 위한 가스 분산장치
CN114695041A (zh) 一种等离子体反应器

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120727

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130729

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140730

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 11