JP2005531151A - エネルギアシステッド原子層堆積及び除去の方法 - Google Patents

エネルギアシステッド原子層堆積及び除去の方法 Download PDF

Info

Publication number
JP2005531151A
JP2005531151A JP2004516231A JP2004516231A JP2005531151A JP 2005531151 A JP2005531151 A JP 2005531151A JP 2004516231 A JP2004516231 A JP 2004516231A JP 2004516231 A JP2004516231 A JP 2004516231A JP 2005531151 A JP2005531151 A JP 2005531151A
Authority
JP
Japan
Prior art keywords
substrate
reaction chamber
gas
radiation
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004516231A
Other languages
English (en)
Inventor
ジュニア オーブレイ エル ヘルムス
ケレム カプキン
サン イン リー
ヨシヒデ センザキ
Original Assignee
アヴィザ テクノロジー インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アヴィザ テクノロジー インコーポレイテッド filed Critical アヴィザ テクノロジー インコーポレイテッド
Publication of JP2005531151A publication Critical patent/JP2005531151A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】 半導体デバイス及びウェーハ上のエネルギアシステッド原子層堆積及びフィルム除去の方法を提供する。
【解決手段】 エネルギアシステッド原子層堆積及び誘電体膜の除去の方法。一実施形態では、基板が反応チャンバに入れられ、ガス状前駆体が反応チャンバ内に導入される。エネルギは、ガス状前駆体のラジカル種を形成する電磁放射のパルスによってもたらされる。ラジカル種は、基板の表面と反応し、基板上にラジカル終端表面を形成する。反応チャンバがパージされ、第2のガス状前駆体が導入される。第2の電磁放射パルスが開始され、第2のラジカル種を形成する。第2のガスの第2のラジカル種は、表面と反応し、基板上にフィルムを形成する。代替的に、基板表面からの材料の除去をもたらすラジカルを生成するようにガス状種を選択することができる。

Description

関連出願
本出願は、共に本明細書においてその開示の全内容が引用により組み込まれている、2002年6月23日出願の米国特許仮出願出願番号第60/391,012号、及び2002年7月19日出願の米国特許仮出願出願番号第60/396,743号に対する優先権及びその恩典を請求するものである。
本発明は、一般的に半導体の分野に関する。より具体的には、本発明は、半導体デバイス及びウェーハ上のエネルギアシステッド原子層堆積及びフィルムの除去に関する。
次世代の半導体デバイスは、金属酸化物シリコン(MOS)トランジスタゲート及びキャパシタ誘電体のための薄い誘電体膜を必要とする。酸化膜が縮小すると、トンネル漏れ電流が大きくなり、ゲート酸化物に対する有効範囲を約1.8nm又はそれ以上に制限する。
高誘電率(高k)金属酸化物は、高い静電容量を備えるゲート誘電体を漏れ電流に妥協することなく提供するための酸化珪素(約3.9の誘電率kを有する)の代替材料として可能性があると考えられている。約20の誘電率を有するハフニウム酸化物(HfO2)、約20の誘電率を有するジルコニウム酸化物(ZrO2)、及び、Hf及びZr珪酸塩のような金属酸化物が報告されている。しかし、化学気相堆積(CVD)のような従来の製造技術は、これらの最新の薄いフィルムを形成する要件を満足させることがますます不可能になっている。CVD処理は、改良されたステップ被覆率を有する共形フィルムにもたらすように調整可能であるが、CVD処理は、多くの場合に高い処理温度を必要とし、高い不純物濃度の混入をもたらし、前駆体又は反応物質の利用効率が劣っている。例えば、高kゲート誘電体を製造する際の障害の1つは、図1に示すように、CVD処理中の界面酸化珪素層の形成である。ゲート及びキャパシタ誘電体用途に対する界面酸化物成長問題は、広く産業界で報告されている。この問題は、最新のデバイス製造において高k材料を実施する上で1つの大きな障害になっている。別の障害は、シリコン基板上に高kゲート誘電体のための超薄(典型的に10Å又はそれ以下)フィルムを堆積する際に従来のCVD処理に限界があることである。
原子層堆積(ALD)は、非常に薄いフィルムを堆積するための従来のCVD処理の代替方法である。ALDは、従来のCVD技術に対していくつかの利点を有する。ALDは、産業界の低温度に向かう傾向に適合する比較的低温度で実行することができ、高い前駆体利用効率を有し、共形の薄いフィルム層を生成することができる。更に有利なことには、ALDは、フィルム厚を原子スケールで制御することができ、「ナノ技術」の複雑な薄いフィルムに対して使用することができる。従って、ALDの更なる開発、特に室温又は室温近くで実施される処理の開発は、この方法が界面酸化物成長の欠点なしにALDの恩典をもたらすと考えられるので大いに望まれている。
米国特許仮出願出願番号第60/391,012号 米国特許仮出願出願番号第60/396,743号 米国特許仮出願出願番号第60/391,011号 PCT特許出願(代理人ドケット番号A−71606/MSS)
本発明は、エネルギアシステッド原子層堆積(EALD)及び除去により半導体デバイス及びウェーハ上にフィルムを形成する方法及びシステムを提供する。それに加えて、本発明は、金属含有フィルムと基板との間に界面酸化物層を形成することなく、基板上に金属含有フィルムを堆積させる方法を提供する。
本発明の1つの態様では、基板上へフィルムをエネルギアシステッド原子層堆積する方法が提供される。本発明によるEALD法により、基板は、本方法の実施に適する反応チャンバ内に置かれる。任意的に、基板は、最初に基板の表面を調整するために前処理されてもよい。第1のガス状前駆体が、反応器内の基板の周囲に導入される。エネルギアシストは、ガスからのラジカル種が形成されるようにガスと基板を電磁照射の第1のパルスに露出することによりもたらされる。適切な電磁放射の例は、以下に限定されるものではないが、可視光放射、赤外線、紫外線、マイクロ波放射、及び高周波放射などを含む。別の実施形態では、「真空紫外線(VUV)放射」のような高エネルギを用いる放射が使用され、室温又は室温近くで望ましい化学反応を開始させる。望ましい反応を最も有利に開始させるために電磁放射エネルギの量を通常の実験を使用して選択することは、当業者には明らかであろう。放射は、レーザのような装置からの可干渉的形態、又はランプのような装置からの非干渉的(すなわち、位相がずれた)形態で供給することができる。
電磁放射の使用は、第1の反応ガスと安定した表面との反応を促進する。ラジカル種は、表面と反応して表面をラジカル種で終結させる。余分な第1のガス状前駆体及びラジカル種は、真空ポンプによる排気、不活性ガスによるパージ、又はその両方によって反応チャンバから除去される。次に、第2の前駆体が反応チャンバ内に導入され、電磁照射の第2のパルスが開始されて第2の前駆体からラジカルを形成する。第2の前駆体からのラジカル種は、終結した表面と反応し、基板表面上に材料の原子層を形成する。余分な第2のガス状前駆体及びラジカル種は、真空ポンプによる排気、不活性ガスによるパージ、又はその両方によって反応チャンバから除去される。このシーケンスは、基板上に望ましい厚さのフィルムが堆積するのに要する回数だけ繰り返される。
別の態様では、本発明は、室温又は室温近くの原子層堆積によって半導体デバイス及びウェーハ上にフィルムを形成する方法及びシステムを提供する。室温は変化してもよいが、一般的に約20から30℃の範囲として規定される。この実施形態では、堆積は、反応チャンバ内で真空環境において実施され、基板は、UVエネルギに露出される。より具体的には、真空UV(VUV)アシステッド原子層堆積処理が低温で実施され、基板表面上にフィルムを堆積させる。反応ガス又は一組の反応ガスが、真空チャンバ内に導入され、フィルムの第1の層と反応し、第1の層を固形化合物の単層に変換する。任意的に、反応ガスと共にオキシダントガスが導入される。余分な反応ガスは、次に、チャンバからパージされる。基板の表面は、VUV放射を受ける。余分なガスは、再びチャンバからパージされる。このサイクルは、同じ又は異なる反応ガスを使用して必要なだけ繰り返される。
本発明の上記及び他の目的は、以下の説明を添付図面と併せて読むとより明確に理解されるであろう。
一般的に、本発明は、エネルギアシステッド原子層堆積及び除去により半導体デバイス及びウェーハ上にフィルムを形成する方法及びシステムを提供する。より具体的には、本発明の一実施形態では、基板上へのフィルムの原子層堆積方法を提供する。一般的に、本発明の方法は以下の段階から成る。すなわち、反応チャンバに基板が置かれる。基板は、裸のシリコン基板とすることができ、又は、代替的に基板表面上に堆積したフィルムを有してもよい。任意的に、基板は、基板表面を調整するために前処理することができる。前処理は、基板表面を清浄にする及び/又は活性化するのに使用することができる。
第1のガス状前駆体は、反応チャンバ内の基板表面周囲に導入される。基板表面上のフィルムとの望ましい化学反応を開始するために、エネルギが使用される。具体的には、ガス状前駆体からラジカル種が形成されるように、ガス状前駆体と基板は、電磁照射の第1のパルスに露出される。電磁放射は、第1のガス状前駆体と安定な基板との反応を促進する。ガス状前駆体から生じたラジカル種は、表面と反応し、表面をラジカル種で終結する。余分なガス状前駆体とラジカル種は、真空ポンプでの排気、不活性ガスによるパージ、又はその両方により反応チャンバから除去される。次に、第2の前駆体が反応チャンバ内に導入され、電磁照射の第2のパルスが開始されて第2の前駆体からラジカル種を形成する。これらの第2のラジカル種は、終結した表面と反応し、基板表面上に材料の原子層を形成する。余分な第2のガス状前駆体とラジカル種は、真空ポンプでの排気、不活性ガスによるパージ、又はその両方により反応チャンバから除去される。このシーケンスは、望ましい厚さのフィルムを堆積するのに必要な回数だけ繰り返される。
本発明では、多くの形態の電磁放射を使用することができる。適切な電磁放射の供給源の例は、以下に限定されるものではないが、可視光放射、赤外線、紫外線、マイクロ波放射、及び高周波放射などを含む。別の実施形態では、「真空紫外線(VUV)」のような高エネルギを備えた電磁放射が、室温又は室温近くで化学反応を開始させるのに使用される。一実施形態では、真空紫外線は、波長が約100から200nmの範囲である。通常の実験により、電磁放射エネルギを望ましい反応を最も有利に開始させるように選択することができることは、当業者には明白であろう。放射は、レーザのような装置からの可干渉的形態、又はランプのような装置からの非干渉的(すなわち、位相がずれた)形態で供給することができる。
一般的に、本発明の方法は、比較的低温で実施することができる。一実施形態では、原子層堆積は、約500℃よりも低い温度で実施される。別の実施形態では、本発明の方法は、約20から400℃の範囲の温度で実行される。更に別の実施形態では、原子層堆積処理は、約100から200℃の範囲の温度で実行される。
好ましくは、本発明の原子層堆積方法は、低い圧力の下で実行される。一実施形態では、本方法の堆積及びエネルギパルス段階は、約1mTorrから760Torrの範囲の圧力で実行される。より一般的には、圧力は、約150Torrよりも低い範囲である。別の実施形態では、圧力は、約15Torrよりも低い範囲である。反応チャンバの排気中は、圧力がこれらの範囲から変化する場合がある。
本方法が真空紫外線放射(VUV)を使用して実行される一実施形態では、反応チャンバ内の圧力は、約15Torrよりも低い、好ましくは、約1Torrよりも低い真空下に維持され、堆積処理は、約20から30℃の範囲の温度で実施される。
本発明の方法を実施するのに使用することができる典型的な反応器の概略を示す第2図を参照して、本発明を更に説明する。説明の目的で特定の反応器を示すが、他の反応器設計及び構成が使用可能であり、本方法は、いずれか1つの反応器又は反応チャンバ設計には限定されない。本方法は、エネルギ供給装置を有する任意の適切な反応器において実行することができる。
図2は、ウェーハサポート16上に支持された半導体基板14を収容する反応チャンバ12を一般的に含む簡略化した反応器10を示す。電磁放射を反応チャンバ12に結合するエネルギ供給装置18が設けられる。ガス状前駆体は、1つ又はそれ以上のガス入口20を経て反応チャンバ12へ搬送される。反応チャンバ12は、反応チャンバ12に結合したポンプ22によって排気される。
チャンバは、反応(前駆体)ガスが導入可能かつチャンバからパージ可能なように構成される。一実施形態では、ガス入口20は、シャワーヘッドインジェクタのようなガスインジェクタから構成することができる。代替的に、ガス入口20は、複数のノズルを備えた単一又は二重の環状リングから構成することができる。図2に示す単一点入口のような他の適切な種類のガス入口を使用することもできる。反応物質が液体の形態で入手可能な時は、反応物質をガスの形態で供給するために、泡立て器又は他の気化器システム(図示せず)を使用することができる。
エネルギは、エネルギ供給装置18によって反応チャンバに供給される。エネルギ供給装置18は、特に、可視光、赤外線、紫外線、マイクロ波、又は高周波放射供給装置という形を取る。一実施形態では、Xeエキシマランプのような紫外線供給装置を使用することができる。Xeエキシマランプは、広い区域の照射に適し、従って特に有効である。Xeエキシマランプは、172nmの波長で照射する。広い区域の照射に適する他のUV供給装置、例えば、基板の表面全体を照明するに足るものを使用することもできる。異なる種類のランプは、異なる波長を提供し、それに対応して異なる光子エネルギを供給することになる。200nmよりも短い波長での照射は、特定の用途及び必要とされる光子エネルギ量次第では望ましい場合がある。所定の用途に対する正確な波長は、通常の実験を使用して当業者が判断することができる。処理中は、エネルギ供給装置のパルス駆動をオン/オフすることができ、又は、エネルギ供給装置に隣接して、適切にエネルギを反応チャンバに結合させるために開閉するシャッタ(図示せず)を配置することもできる。
ここで、本発明の方法を十分詳しく説明する。例示的な例においては、基板表面上に堆積した酸化膜を有する基板は、前処理されて水素終結表面を形成する。水素終結表面は、ある一定の期間安定であることが公知である。水素終結表面を有する基板は、次に、反応チャンバに置かれる。反応チャンバは、低温かつ高い真空状態に維持されるのが好ましく、これらの変数の範囲の例は、上述の通りである。酸素含有ガスが反応チャンバ内に導入され、このガスは、基板上方の区域に吹き込まれるのが好ましく、酸素含有ガスを解離して酸素を形成する電磁照射の第1のパルスが開始される。酸素ラジカルは、シリコン表面で水素と反応する。酸素終結表面は、水素と酸素の間の原子層交換によって形成される。原子層交換の方法は、2002年6月23日出願の米国特許仮出願出願番号第60/391,011号の恩典を請求する、本出願と同時出願で現在特許出願中のPCT特許出願出願番号第 号(代理人ドケット番号A−71606/MSS)に更に説明されており、この両方の開示は、本明細書において引用により明示的に組み込まれている。
反応チャンバはパージされ、余分な反応物質及びいかなる反応副生成物も除去される。金属前駆体が反応チャンバ内に導入されて電磁照射の第2のパルスが開始され、金属前駆体分子を解離して金属ラジカルを形成する。金属ラジカルは、酸素終結表面と反応して、基板表面上に金属酸化物の原子層を形成する。
基板表面上に酸化物が堆積した例示的な例では、以下の構造が存在する。
Figure 2005531151
前処理するために、表面上に酸化物が堆積した基板は、弱フッ化水素(HF)溶液に浸され、以下の構造に示す水素終結表面を作り出す。



Figure 2005531151
水素終結表面を有する基板は、次に、低温かつ高い真空状態に維持された反応器に置かれる。酸素含有ガスが、反応器内の基板上方に導入される。酸素含有ガスの例は、(以下に限定されるものではないが、)O3、O2、NO、N2O、H2O、及びH22などを含む。酸素含有ガスは、様々な方法で反応器内に導入可能であり、基板の周囲に供給される。例えば、酸素含有ガスは、反応器の上部又は側壁から反応器内に導入することができる。水素終結表面は、酸素含有ガスに露出される。しかし、酸素含有ガスは、反応器で維持される低い温度及び圧力のために基板表面で水素と反応しない。
反応を活性化させるために、電磁照射の第1のパルスが開始され、酸素含有ガスを基板表面の上方で活性化し、酸素ラジカルを形成する。任意の形態の電磁放射が使用可能であり、好ましくは、電磁照射は、パルス駆動されたものである。この電磁放射の例は、以下に限定されるものではないが、可視光放射、赤外線、紫外線、マイクロ波放射、及び高周波放射などを含む。放射は、レーザのような装置からの可干渉的形態、又はランプのような装置からの非干渉的方法で供給することができる。電磁照射の選択は、用途と堆積するフィルムの種類とに依存する。マイクロ波エネルギ及び高周波放射などは、基板に亘って電界を生成する場合があるが、可視光、赤外線、及び紫外線などは、電界を生成せず、従って、電界を回避すべき用途に対して好ましい。電磁照射は、反応器の上部から広がるように放射することができ、又は、基板上の特定の局所領域又は区域に集束させることもできる。代替的に、多重ウェーハ反応器では、側壁走査放射供給装置を使用して、複数の基板を電磁放射パルスに連続的に露出することができる。代替的に、焦点を合わせた電磁放射供給装置を使用して、基板の選択された区域上の反応を活性化し、直接的書込処理をもたらすことができる。
例示的実施形態においては、形成された酸素ラジカルは、シリコン表面で水素と反応して原子交換を受け、次式に示すような酸素終結表面をもたらす。
Figure 2005531151
塩化ハフ二ウム(HfCl4)、TEMA−Hf、及びHf(t−BuO)4などのような金属含有化合物が反応器内に導入され、電磁照射の第2のパルスが開始され、金属含有化合物の分子を解離して金属ラジカルを形成する。電磁照射の選択は、金属含有化合物の分子を解離するのに必要なエネルギ量に依存し、通常の実験を使用して当業者が判断することができる。
金属ラジカルは、次に、酸素終結表面で酸素と反応し、次式に示すように基板表面上に原子金属酸化物層を形成する。
Figure 2005531151
説明したように、本発明により、シリコンとHfOの間に清浄な界面がもたらされる。酸化物層は、原子層の僅か1つ又は半分の大きさであるのが好ましい。本発明によって提供されるこのような制御は、非常に強力な技術である。特定の例をハフニウムで説明したが、本発明の方法は、Ti、Zr、Y、La、C、Nb、Ta、W、Zn、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、又はLuからの金属を含む層を有する多くの他の金属酸化物層を堆積させることができる。
本発明の更に別の実施形態は、エネルギアシステッド原子層除去を伴う。この場合は、原子スケールで除去されるフィルムを有する基板は、低温かつ高い真空状態で反応器に置かれる。ガスが反応チャンバ内に導入される。電磁パルス照射が開始され、基板表面の上方でガスを励起し、ラジカルを形成する。上述のように、電磁照射がパルスとして与えられる限り、任意の形態の電磁照射を使用することができる。適切な電磁放射の例は、以下に限定されるものではないが、可視光放射、赤外線、紫外線、マイクロ波放射、及び高周波放射などを含む。ここでもまた、放射は、レーザのような装置からの可干渉的形態、又はランプのような装置からの非干渉的形態で供給することができる。電磁照射の選択は、用途と除去されるフィルムの種類とに依存する。マイクロ波エネルギは、基板に亘って電界を生成する場合があるが、可視光、赤外線、及び紫外線などは、電界を生成せず、従って、電界を回避すべき用途に対して好ましい。電磁照射は、反応器の上部から基板上方の特定の局所区域に与えることができる。代替的に、多重ウェーハ反応器では、側壁走査供給装置を用いて、電磁照射を複数の基板に連続的に与えることができる。別の実施形態では、焦点を合わせた電磁放射供給装置を用いて、基板の選択された区域で反応を活性化し、「直接書込」除去処理をもたらすことができる。ラジカル種は、表面と反応して揮発性化合物を形成し、これが反応チャンバから除去される。この処理は、必要に応じて、フィルムの原子層を除去するために反復することができる。
本発明の方法の別の例示的実施形態を、連続的段階を詳細に示す図3Aから図3Jで説明する。この例では、原子層交換は、エネルギアシストを用いて実行され、5Åの等価酸化物厚(EOT)を有するゲート誘電体を形成する。原子層交換は、フィルム表面の化学的性質を変更するために実施される。図3Aに示すように、水素終結表面を有するシリコンウェーハが準備される。次に、反応チャンバのウェーハの周囲に酸素源が送られる。図3Cに示すように、酸素源は、電磁放射によって活性化される。活性化された酸素源は、ウェーハとの表面反応を受け、水素原子と酸素原子を交換し、図3Dに示すようにウェーハ上に酸化物の1つ又は半分の原子層を形成する。反応チャンバは、次に、パージされる。
次に、基板と反応すると望ましいゲート誘電材料を生成することになる前躯体が、チャンバに搬送される。この例では、Hf含有供給源がその前躯体である。代替的に、前躯体は、Ti、Zr、Y、La、C、Nb、Ta、W、Zn、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、又はLuのグループからの金属供給源を含み、異なるそれぞれの金属酸化物を生成することができる。金属含有前躯体は、低温(上述の通り)で図3Fと図3Gに示すように、UVエネルギパルスのような電磁放射のパルスによって活性化される。原子層堆積が、図3Hに示すようにウェーハの表面上で起こり、次に、反応チャンバは、好ましくは、アルゴンなどのような不活性ガスのアシストでパージされる。
この例では酸化ハフニウムである誘電体を形成するために、図3Iに示すように、ここでもまた、酸素源が反応器へ搬送される。酸素源は、エネルギパルスによって活性化され、原子層堆積が起こり、ウェーハ表面上にHfO2層を形成する。酸素源は、反応チャンバからパージされ(図3J)、この処理は、必要に応じて付加的な原子層を形成するために反復することができる。
上述のように、原子層交換は、ガス相のフリーラジカル又は分子とウェーハ表面との間で起こる。これらのガス状前躯体のウェーハ表面を通じての拡散は、多層原子交換を回避するために、温度、パルス時間、チャンバ圧力、分子サイズ、及び反応性を含むいくつかのパラメータによって制御することができる。
本発明によるエネルギアシステッド原子層堆積及び除去方法は、幅広い用途を有する。例えば、本発明は、他の用途の中でも特に、金属及び誘電体のエッチング、石版印刷マスクの生成、及び液晶ディスプレイの解像度の改善に使用することができる。高品質の高k誘電体膜は、エネルギ活性化により低堆積温度で選択的ALD前躯体を用いて堆積させることができる。低温ALD高k誘電体処理と共に本発明の原子層交換により、シリコン−高k誘電体界面を制御することができる。
本発明の別の態様では、低温及び真空環境下で半導体上に原子層を堆積する方法及びシステムが提供される。一般的に、本発明は、多くの場合に周囲温度と呼ばれる室温又は室温近くの温度、かつ一般的に約20から30℃の範囲の温度でフィルムが基板上に堆積されるALD法及びシステムを提供する。一般的に、本方法は、基板を真空反応チャンバに入れる段階と、反応ガス又は反応ガスの組合せをチャンバ内に導入し、基板(シリコン表面又はALDフィルムの層)と反応させて層を単層の固形化合物に変換する段階とを含む。余分な反応ガス又は複数のガスは、反応チャンバからパージされる。チャンバからガスが抜かれた状態で、基板上の単層は、真空UV(VUV)放射で照射される。任意的に、オキシダントガスを反応器内に導入することができる。放射段階に続いて、余分なガスが再びチャンバからパージされ、このサイクルは、目標とするフィルムの形成に必要な回数だけ反復される。
エネルギパルスが開始された時に、ランプが点灯し又はシャッタ(もしあれば)が開かれてチャンバ及び基板表面を照射する。照射持続期間は、約0.1秒から10秒の範囲が好ましい。処理中は、好ましくは約1x10-8から10torrの範囲の圧力で反応チャンバの圧力が真空に保たれる。照射後にチャンバは再びパージされ、いかなる余分な反応ガスも排気される。このサイクルは、用途及び目標とするデバイスにより、同じ又は異なる反応ガスを用いて必要に応じて反復することができる。典型的には、サイクルは、10から数百回まで反復される。その後のサイクルの間は、照射持続時間、照射波長、及び真空の強さは、全て特定用途の必要性に従って変更することができる。これらの変数の選択は、反応ガスの性質と堆積に伴う化学結合とに依存し、通常の実験を使用して当業者が判断することができる。
本発明の1つの用途は、高誘電率(高k)金属酸化物のシリコン基板上への堆積を提供する。この用途に対する格別な利点は、堆積がより低い温度で実行され、それによって誘電体とシリコン表面との間の界面酸化物成長の形成が抑制されることである。本発明の方法は、ほぼ室温から200℃までの範囲の温度、好ましくは室温で実行することができる。
例えば、Hf(t−BuO)4及びZr(t−BuO)4などのような酸素含有有機金属錯体は、シリコン基板上にHfO2及びZrO2を堆積するための前駆体として使用することができる。この反応は、任意的にオキシダントガスを含めて、本発明によるVUVアシステッドADL処理を使用して低温で進めることができる。基板は、金属酸化物、アルミン酸塩、珪酸塩、窒化物、又は純金属を含む任意のゲート誘電体又はセラミックのような半導体処理に使用される際の基板上に堆積されたフィルムを含む任意の種類とすることができる。
本発明は、上述の好ましい実施形態及び実施例を参照して開示されたが、変更及び組合せが当業者には容易に想起されることが想定されるので、これらの実施例は限定する意味ではなく例示的であるように意図されており、その変更及び組合せが本発明の範囲及び特許請求の範囲内であることは理解されるものとする。
従来技術の堆積処理中に金属含有層とシリコン基板との間に形成される界面酸化珪素層を示す概略図である。 本発明の一実施形態による原子層堆積方法を実行するための反応器を示す概略図である。 本発明の別の実施形態による等価酸化物厚(EOT)が約5を示すゲート誘電体を形成するための原子層交換の段階を示す概略図である。 本発明の別の実施形態による等価酸化物厚(EOT)が約5を示すゲート誘電体を形成するための原子層交換の段階を示す概略図である。 本発明の別の実施形態による等価酸化物厚(EOT)が約5を示すゲート誘電体を形成するための原子層交換の段階を示す概略図である。 本発明の別の実施形態による等価酸化物厚(EOT)が約5を示すゲート誘電体を形成するための原子層交換の段階を示す概略図である。 本発明の別の実施形態による等価酸化物厚(EOT)が約5を示すゲート誘電体を形成するための原子層交換の段階を示す概略図である。 本発明の別の実施形態による等価酸化物厚(EOT)が約5を示すゲート誘電体を形成するための原子層交換の段階を示す概略図である。 本発明の別の実施形態による等価酸化物厚(EOT)が約5を示すゲート誘電体を形成するための原子層交換の段階を示す概略図である。 本発明の別の実施形態による等価酸化物厚(EOT)が約5を示すゲート誘電体を形成するための原子層交換の段階を示す概略図である。 本発明の別の実施形態による等価酸化物厚(EOT)が約5を示すゲート誘電体を形成するための原子層交換の段階を示す概略図である。 本発明の別の実施形態による等価酸化物厚(EOT)が約5を示すゲート誘電体を形成するための原子層交換の段階を示す概略図である。
符号の説明
10 反応器
12 反応チャンバ
14 半導体基板
18 エネルギ供給装置
20 ガス入口

Claims (15)

  1. 反応チャンバにおいて基板上にフィルムを堆積する方法であって、
    第1のガスを反応チャンバ内に導入する段階と、
    前記第1のガスから基板の表面と反応して該基板上にラジカル終結表面を形成するラジカル種を形成するために、電磁照射の第1のパルスを開始する段階と、
    前記反応チャンバをパージする段階と、
    第2のガスを前記反応器内に導入する段階と、
    前記第2のガスから前記ラジカル終結表面と反応して前記基板上にフィルムの層を形成する第2のラジカル種を形成するために、電磁照射の第2のパルスを開始する段階と、
    を含むことを特徴とする方法。
  2. 反応チャンバにおいて基板上のフィルムを除去する方法であって、
    ガスを反応チャンバ内に導入する段階と、
    電磁照射の第1のパルスで前記ガスを照射し、該ガスからラジカル種を形成する段階と、
    前記ラジカルを基板表面上のフィルムと反応させて揮発性化合物を形成し、それによって該フィルムの原子層を除去する段階と、
    を含むことを特徴とする方法。
  3. 反応チャンバにおいて基板上に原子層を堆積する方法であって、
    反応ガス又は複数のガスを反応チャンバ内に導入し、反応物質を基板表面と反応させて該基板表面上に原子層を形成する段階と、
    前記反応チャンバを排気する段階と、
    前記基板表面を紫外線で照射する段階と、
    を含むことを特徴とする方法。
  4. 前記基板の表面を調整するために該基板を前処理する段階、
    を更に含むことを特徴とする請求項1、請求項2、又は請求項3に記載の方法。
  5. 前記パージする段階は、前記反応チャンバを排気する段階、不活性ガスでパージする段階、又はその両方を含むことを特徴とする請求項1、請求項2、又は請求項3に記載の方法。
  6. 前記電磁照射の第2のパルスを開始する段階の後に前記チャンバをパージする段階と、
    目標とするフィルムを形成するために前記段階を繰り返す段階と、
    を更に含むことを特徴とする請求項1に記載の方法。
  7. 約20から400℃の範囲の温度で実施されることを特徴とする請求項1又は請求項2に記載の方法。
  8. 約100から200℃の範囲の温度で実施されることを特徴とする請求項1又は請求項2に記載の方法。
  9. 約20から30℃の範囲の温度で実施されることを特徴とする請求項1、請求項2、又は請求項3に記載の方法。
  10. 前記電磁照射は、可視光放射、赤外線、紫外線、マイクロ波放射、高周波放射、又は真空紫外線から成ることを特徴とする請求項1又は請求項2に記載の方法。
  11. 前記導入する段階及び前記開始する段階は、約1mTorrから760Torrの範囲の圧力で実施されることを特徴とする請求項1又は請求項2に記載の方法。
  12. 前記導入する段階及び前記開始する段階は、約150Torrよりも低い範囲の圧力で実施されることを特徴とする請求項1又は請求項2に記載の方法。
  13. 前記導入する段階及び前記開始する段階は、約15Torrよりも低い範囲の圧力で実施されることを特徴とする請求項1又は請求項2に記載の方法。
  14. 真空の下で約20から30℃の範囲の温度で実施されることを特徴とする請求項3に記載の方法。
  15. 前記照射する段階に続いて前記チャンバをパージする段階と、
    同じ又は異なる反応ガスを用いて前記段階を複数回繰り返す段階と、
    を更に含むことを特徴とする請求項3に記載の方法。
JP2004516231A 2002-06-23 2003-06-23 エネルギアシステッド原子層堆積及び除去の方法 Pending JP2005531151A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39101202P 2002-06-23 2002-06-23
US39674302P 2002-07-19 2002-07-19
PCT/US2003/019984 WO2004001809A2 (en) 2002-06-23 2003-06-23 Method for energy-assisted atomic layer deposition and removal

Publications (1)

Publication Number Publication Date
JP2005531151A true JP2005531151A (ja) 2005-10-13

Family

ID=30003181

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004516231A Pending JP2005531151A (ja) 2002-06-23 2003-06-23 エネルギアシステッド原子層堆積及び除去の方法

Country Status (6)

Country Link
US (1) US20050175789A1 (ja)
EP (1) EP1540034A4 (ja)
JP (1) JP2005531151A (ja)
AU (1) AU2003243778A1 (ja)
TW (1) TWI278532B (ja)
WO (1) WO2004001809A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005252186A (ja) * 2004-03-08 2005-09-15 Semiconductor Leading Edge Technologies Inc エッチング装置及びエッチング方法

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20050153571A1 (en) * 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US7762040B2 (en) 2004-08-12 2010-07-27 Progressive Foam Technologies, Inc. Insulated fiber cement siding
JP4694209B2 (ja) * 2005-01-05 2011-06-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7579285B2 (en) * 2005-07-11 2009-08-25 Imec Atomic layer deposition method for depositing a layer
US7727912B2 (en) * 2006-03-20 2010-06-01 Tokyo Electron Limited Method of light enhanced atomic layer deposition
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
FR2911431B1 (fr) * 2007-01-16 2009-05-15 Soitec Silicon On Insulator Procede de fabrication de structures soi a couche isolante d'epaisseur controlee
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US7981763B1 (en) * 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
BRPI0922795A2 (pt) * 2008-12-05 2018-05-29 Lotus Applied Tech Llc alta taxa de deposição de filmes finos com propriedades de camada de barreira melhorada
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8440537B1 (en) * 2011-11-11 2013-05-14 Intermolecular, Inc. Adsorption site blocking method for co-doping ALD films
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014086516A (ja) * 2012-10-22 2014-05-12 Canon Inc ラジカルを供給する供給装置、リソグラフィ装置、及び物品の製造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150361551A1 (en) * 2014-06-13 2015-12-17 Hzo, Inc. Protective coatings for electronic devices and atomic layer deposition processes for forming the protective coatings
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) * 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7461923B2 (ja) 2018-07-09 2024-04-04 ラム リサーチ コーポレーション 電子励起原子層エッチング
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US493561A (en) * 1893-03-14 levi r
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
JP3092185B2 (ja) * 1990-07-30 2000-09-25 セイコーエプソン株式会社 半導体装置の製造方法
US5326406A (en) * 1991-07-31 1994-07-05 Kawasaki Steel Corporation Method of cleaning semiconductor substrate and apparatus for carrying out the same
JP3942672B2 (ja) * 1996-04-12 2007-07-11 キヤノンアネルバ株式会社 基板処理方法および基板処理装置
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6036876A (en) * 1997-06-25 2000-03-14 Applied Komatsu Technology, Inc. Dry-etching of indium and tin oxides
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100282853B1 (ko) * 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6503561B1 (en) * 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6548414B2 (en) * 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
JP2002015971A (ja) * 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
AU2002232844A1 (en) * 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
KR100406549B1 (ko) * 2001-06-30 2003-11-22 주식회사 하이닉스반도체 지르코늄산화막을 구비하는 캐패시터의 제조 방법
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6902624B2 (en) * 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
KR100438780B1 (ko) * 2001-12-01 2004-07-05 삼성전자주식회사 반도체 소자의 커패시터 제조방법
US6429109B1 (en) * 2001-12-14 2002-08-06 Chartered Semiconductor Manufacturing Ltd Method to form high k dielectric and silicide to reduce poly depletion by using a sacrificial metal between oxide and gate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005252186A (ja) * 2004-03-08 2005-09-15 Semiconductor Leading Edge Technologies Inc エッチング装置及びエッチング方法
JP4540368B2 (ja) * 2004-03-08 2010-09-08 富士通セミコンダクター株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
WO2004001809A8 (en) 2004-05-13
TWI278532B (en) 2007-04-11
AU2003243778A1 (en) 2004-01-06
WO2004001809A3 (en) 2004-02-19
WO2004001809A2 (en) 2003-12-31
EP1540034A4 (en) 2008-02-20
AU2003243778A8 (en) 2004-01-06
EP1540034A2 (en) 2005-06-15
US20050175789A1 (en) 2005-08-11
TW200500491A (en) 2005-01-01

Similar Documents

Publication Publication Date Title
JP2005531151A (ja) エネルギアシステッド原子層堆積及び除去の方法
JP4449226B2 (ja) 金属酸化膜の改質方法、金属酸化膜の成膜方法及び熱処理装置
JP7453958B2 (ja) チャンバからSnO2膜を洗浄するための方法
TWI293781B (ja)
KR100641762B1 (ko) 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법
TWI404816B (zh) 光激發可用於原子層沈積之介電層的化學物之方法與設備
KR100819318B1 (ko) 반도체 장치의 제조방법
US7374617B2 (en) Atomic layer deposition methods and chemical vapor deposition methods
US6232248B1 (en) Single-substrate-heat-processing method for performing reformation and crystallization
TW200818278A (en) Fluorine plasma treatment of high-k gate stack for defect passivation
TW201327672A (zh) 乾蝕刻製程
WO2003088342A1 (fr) Procede de fabrication de materiau d'un dispositif electronique
JP2008124474A (ja) 半導体装置の製造方法及び基板処理装置
KR100958265B1 (ko) 기판 처리 방법, 컴퓨터 판독 가능 기록 매체, 기판 처리장치, 및 기판 처리 시스템
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
JP3062116B2 (ja) 成膜・改質集合装置
JP2004296887A (ja) 半導体装置の製造方法及び基板処理装置
TW200404335A (en) Method and system for atomic layer removal and atomic layer exchange
KR20000011360A (ko) 개질및결정화를수행하기위한매엽식열처리장치및방법
TW512461B (en) Single-substrate-heat-processing apparatus and method for performing reformation and crystallization
JP3531672B2 (ja) 金属酸化膜の形成方法
JP2000021874A (ja) 金属酸化膜の形成方法及び成膜処理システム
JPH0978245A (ja) 薄膜形成方法
JPS61152024A (ja) 半導体装置作製方法
JP2001110805A (ja) 金属酸化膜の形成方法及び成膜処理システム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060607

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090424

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090511

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091109